c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

Koko: px
Aloita esitys sivulta:

Download "c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt"

Transkriptio

1 IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien tulona (,5 p) c) :n komplementti minimoituna tulojen summana (,5 p) d) :n komplementti minimoituna summien tulona (,5 p) e) :n minimoitu logiikkakaavio -tuloisilla NN-porteilla (,5 p) ja f) :n minimoitu logiikkakaavio -tuloisilla NOR-porteilla (,5 p). Käytössäsi on muuttujat,,,,, eli et tarvitse erikseen inverttereitä. e) NN-porteilla f) NOR-porteilla IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO. Oheinen taulukko esittää -bittiset kahden komplementtiluvut ( ) ja niitä vastaavat desimaaliluvut. Suunnittele kombinaatiologiikka, joka ottaa -bittisestä kahden komplementtiluvusta itseisarvon ja esittää sen kahdella bitillä ( ) etumerkittömänä positiivisena binäärilukuna. Mahdollisen ylivuotobitin voit unohtaa. a) täydennä taulukkoon :n ja :n arvot (,5 p) b) laadi :n ja :n K-kartat (,5 p) c) minimoi :n ja :n loogiset funktiot tulojen summaksi (,5 p) d) muokkaa yhtälöitä siten, että voit toteuttaa ne yhdellä N- ja yhdellä EOR-portilla ( p) e) täydennä oheinen logiikkakaavio d)-kohdan mukaiseksi (nimeä portit ja piirrä johdotus) (,5 p). a) b) K-kartat des. : : -. Esitä oheisen logiikkakaavion toiminta a) totuustauluna. (piirrä totuustaulu kuvan viereen) (,5 p) ja b) K-karttana (,5 p) c) minimoituna tulojen summana (,5 p) d) minimoituna summien tulona (,5 p) e) logiikkakaaviona, kun käytössäsi on yhteensä kpl -tuloisia logiikkaportteja (N, OR, NN, NOR, EOR, ENOR) (piirrä logiikkakaavio kuvan viereen) ( p). MU Totuustaulu K-kartta G MU G ntti Mäntyniemi MU G Logiikkakaavio kahdella portilla c) loogiset funktiot tulojen summana = = d) N- ja EOR-porteille sopivat yhtälöt = = e) logiikkakaavio ntti Mäntyniemi

2 IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO. nalysoi oheinen synkroninen (kaikille kiikuille yhteinen kellosignaali) tilakone. Esitä a) kiikkujen datatulojen (, ) loogiset funktiot b) lähtöjen (select, select, select, select) loogiset funktiot c) kiikkujen datatulojen (, ) loogisten funktioiden K-kartat d) tilansiirtotaulukko ilman lähtöjä select - select e) tilakaavio ilman lähtöjä select - select. f) Täydennä oheinen ajoituskaavio. R R LK a) = = b) select = select = select = select = c) K-kartat d) tilansiirtotaulukko e) tilakaavio : IN/LIN G select select select select IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. Give your answers in these question papers! KT Σ. Mitkä seuraavista loogisten funktioiden esitystavoista edustavat samaa loogista funktiota? Which of the following represent the same logical function? = = = = a) b) c) d) e) = f) 6) Vastaus/nswer: esim. / for example g=7; a = ; b = ; c = ; d = ; e = ; f =. Esitä oheisen multiplekserikytkennän toteuttama looginen toiminta? Ilmoita Present the logical operation of the following multiplexer logic diagram? Present a) Karnaugh n karttana / as a Karnaugh map. ) ) ) ) 5) = + = + = + : f) ajoituskaavio LK R b) minimoituna summien tulona / as minimised product of sums. = c) minimoituna tulojen summana / as minimised sum of products. = d) Täydennä oheiseen ajoituskaavioon lähdön käyttäytyminen. ill in the behaviour of the output to the following timing diagram. (..) select MU G select select select ntti Mäntyniemi ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

3 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:... nalysoi oheinen kombinaatiologiikka ja ilmoita vastaus a) Karnaugh n karttana, b) minimoituna tulojen summana, c) minimoituna summien tulona ja d) vain yhdellä logiikkaportilla. nalyse the following combinational logic and give your answer as a) Karnaugh map, b) minimised sum of products, c) minimised product of sums and d) with only one logic gate.. a) Suunnittele synkroninen (kaikille kiikuille yhteinen kellosignaali LK) tilakone, joka toteuttaa TRIV-laskuri/jakaja-toiminnon eli jakaa kiikkujen kellotuloihin kytketyn kellosignaalin neljällä, jolloin laskuri käy läpi tilat,,,,,,,,... Käytössäsi on kahden -kiikun lisäksi vain yksi - tuloinen logiikkaportti, joka voi olla N, OR, NN, NOR, NOT, OR, NOR tai MU. - kiikussa on sekä suora että invertoitu lähtö. Esitä a) tilakaavio, b) tilansiirtotaulukko, c) kiikujen datatulojen Karnaugh n kartat, d) kiikkujen datatulojen minimoidut yhtälöt, e) logiikkakaavio ja f) täydennä oheiseen ajoituskaavioon -kiikkujen lähtöjen käyttäytyminen. Oletetaan että laskuri resetoidaan aluksi, jolloin ensimmäinen tila on. Reset-signaalia ei tarvitse piirtää. esign a synchronous state machine (all flip-flops receive a common clock signal LK) that realises a TRIV counter/divider operation i.e. devides the input clock frequency by four, in which case the counter goes through states,,,,,,,,... You can use two -flip-flops and only one additional logic gate which can be N, OR, NN, NOR, NOT, OR, NOR or MU. The -flip-flop has both non-inverted and inverted outputs. Present a) state diagram, b) state transfer table, c) Karnaug maps of the flip-flop data inputs, d) minimised logic functions of the flip-flop data inputs, e) logic diagram and f) fill in to the following timing diagram the behaviour of the flip-flop outputs. Let s assume that the counter is resetted in the beginning so that the first state is. You don t have to draw the reset signal. a) tilakaavio / state diagram b) tilansiirtotaulukko / state transfer table c) K-kartat / K-maps d) minimoidut datatulojen yhtälöt minimised logic functions of the data inputs a) Karnaugh n kartta / Karnaugh map e) logiikkakaavio / logic diagram b) minimoituna tulojen summana / as minimised sum of products = c) minimoituna summien tulona / as minimised product of sums = f) ajoituskaavio / timing diagram LK d) vain yhdellä logiikkaportilla / with only one logic gate = ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

4 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 7.. Give your answers in these question papers! KT Σ. = + + ja = (++)(++)(++)(++). Esitä minimoituna tulojen summana IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 7... Mitä -tuloisia logiikkaportteja oheiset logiikkakaaviot vastaavat toiminnaltaan :n ja Y:n kannalta eli millä yksittäisillä logiikkaporteilla voit korvata oheiset logiikkakaaviot, kun tuloina ovat ja Y? a) Y Y Y a) + = b) = c) + = d) = e) + = f) = = -portti = -portti = -portti. Suunnittele yhtä -kiikkua, jossa on sekä suora että invertoitu lähtö, ja yhteensä kolmea korkeintaan -tuloista logiikkaporttia (N, OR, NN, NOR, NOT, EOR, ENOR) käyttäen yhden bitin muistisolu, joka vastaa loogiselta toiminnaltaan JK-kiikkua. JK-kiikussa on kaksi herätetuloa J ja K, toisin kuin -kiikussa, jossa on yksi herätetulo (kts. oheinen kuva). JK-kiikkuhan toimii siten, että tulon J ollessa ykkönen ja samalla tulon K ollessa nolla lähdöksi eli seuraavaksi tilaksi tulee kellon seuraavan nousevan reunan jälkeen ykkönen. Tulon K ollessa ykkönen ja samalla tulon J ollessa nolla seuraavaksi tilaksi tulee nolla kellon seuraavan nousevan reunan jälkeen. Mikäli sekä J että K ovat nollia, JK-kiikun tila säilyy myös kellon seuraavan reunan jälkeen ja sekä J:n että K:n ollessa ykkösiä JK-kiikku vaihtaa tilaansa kellon seuraavan nousevan reunan jälkeen. Esitä muistisolun logiikkakaavio (käytössäsi on siis yksi -kiikku ja yhteensä kolme korkeintaan - tuloista logiikkaporttia). Esitä myös tarpeellisiksi katsomasi välivaiheet, J K. Minimoi oheiset Karnaugh n kartat. Ilmoita tulojen summana, Y summien tulona ja Z tulojen summana. : Y: d d Z: d = Y = Z = tulojen summana summien tulona tulojen summana ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

5 IGITLITEKNIIKK I 5 Tentti: 9.. ELEKTRONIIKN LORTORIO Henkilötunnus - Σ. Mitkä seuraavista loogisten funktioiden esitystavoista vastaavat toisiaan loogiselta toiminnaltaan? Perustele! a = b = (++)(++)(++)(++) c = d = e (,,) = M(,,,,5,7) = f MU G 7 g IN/LIN G h IGITLITEKNIIKK I 5 Tentti: 9.. ELEKTRONIIKN LORTORIO. a) Toteuta alla olevan kuvan NN-logiikan looginen funktio minimimäärällä -tuloisia NORportteja. Esitä vastauksessasi myös b) minimoituna tulojen summana c) minimoituna summien tulona ja d) Karnaugh n karttana. Muuttujista on tarjolla suorat ja komplementoidut versiot. a) minimimäärällä -tuloisia NOR-portteja Perustelut tähän! b) minimoituna tulojen summana c) minimoituna summien tulona d) Karnaugh n karttana Vastaus: (esim. m = n...) =, =, =, = ntti Mäntyniemi ntti Mäntyniemi

6 IGITLITEKNIIKK I 5 Tentti: 9.. ELEKTRONIIKN LORTORIO. Oheiset kolme Karnaugh n karttaa esittävät erään -kiikuilla toteutetun tilakoneen -kiikkujen datatulojen, ja toiminnan nykytilan funktiona. Esitä tilakoneen toiminta a) tilakaaviolla ja b) tilansiirtotaulukkolla. Esitä myös c) kiikkujen datatulojen, ja minimoidut loogiset funktiot ja d) logiikkakaavio -kiikuilla ja N- ja OR-porteilla. Saat käyttää yhteensä korkeintaan seitsemän N- ja OR-porttia. e) Täydennä myös oheinen ajoituskaavio, kun alkutila on kuvan e) mukaisesti. -kiikuissa on suorat ja komplementoidut lähdöt! a) Nykytila b) c) = = = IGITLITEKNIIKK I 5 Tentti..5. Tarkastellaan oheista totuustaulua. Esitä: Toteuta: ELEKTRONIIKN LORTORIO a) minimoituna tulojen summana b) minimoituna summien tulona Henkilötunnus - Σ c) minimimäärällä - ja -tuloisia tuloisia NN-portteja d) minimimäärällä - ja -tuloisia NOR-portteja e) yhdellä -tuloisella EOR-portilla ja yhdellä -tuloisella N-portilla f) yhdellä -tuloisella multiplekserillä ( valintatuloa, datatuloa, lähtö) g) yhdellä -to-8 IN/LIN-dekooderilla ja yhdellä muulla logiikkaportilla. Muuttujista, ja on tarjolla myös invertoidut versiot, ja. Ja vielä: h) mikä yhteys loogisella funktiolla on totuustaulun loogiseen funktioon? Vastaukset tähän tarvittavine välivaiheineen... a) b) c) d) d) e) KELLO KELLO e) f) g) = MU G IN/LIN G ntti Mäntyniemi h) 5 ntti Mäntyniemi

7 IGITLITEKNIIKK I 5 Tentti..5 ELEKTRONIIKN LORTORIO IGITLITEKNIIKK I 5 Tentti..5 ELEKTRONIIKN LORTORIO. Oheisessa kuvassa on esitetty 7-segmenttinäytöllä esitettävät numerosymbolit...9 ja segmenttejä vastaavat kirjaimet a...g. Kukin segmentti on näkyvissä, kun vastaava ohjaava signaali a...g on looginen ykkönen. -koodi (inary oded ecimal = binääriluvuksi koodattu desimaaliluku) sisältää vain kymmenlukujärjestelmän numerosymbolit...9 koodattuna neljällä bitillä, missä on eniten merkitsevä bitti, ja on vähiten merkitsevä bitti. Ne :n, :n, :n ja :n binäärikombinaatiot, joita vastaavat desimaaliluvut eivät ole välillä...9, eivät kuulu -koodiin. a) Minkä 7-segmenttinäytön segmentin a...g koodausta oheiset minimoidut loogiset yhtälöt ja vastaavat /7-segmentti-dekoodauksessa? (,,,) = (,,,) = (+)(+)(+)(++) b) Esitä yhtälöitä ja vastaavat Karnaugh n kartat. c) Miten :n ja :n koodaukset poikkeavat toisistaan eli mitä oletuksia on tehty -koodiin kuulumattomien :n, :n, :n ja :n kombinaatioiden koodaamisesta? d) Esitä yhden muun kuin a)-kohdan segmentin koodaus mahdollisimman yksinkertaisena tulojen summana ottaen huomioon c)-kohdan oletukset. a a a a a a a a f b b g b g b f g b f g f g b f g b f g b e c c e c c c e c c e c c d d d d d d d Muuta oheisen kuvan esittämän synkronisen tilakoneen toiminta sellaiseksi, että häiriötilanteessa tilakone ei voi ohjaussignaalista riippumatta jäädä jumiin yhteen tilaan, vaan siirtyy tästä tilasta synkronisesti tilaan = kellon seuraavalla nousevalla reunalla sekvenssin säilyessä muuten samana. Esitä sekä alkuperäisen että muutetun tilakoneen a) tilakaaviot, b) tilansiirtotaulukkot, c) kiikkujen datatulojen ja Karnaugh n-kartat ja d) kiikkujen datatulojen ja minimoidut loogiset yhtälöt tulojen summina. KELLO alkuperäinen alkuperäinen b) tilansiirtotaulukot ( kpl) Vastaukset tähän: a) tilakaaviot ( kpl) muutettu muutettu Vastaukset tähän: a) b) alkuperäinen c) Karnaugh n-kartat ( kpl) muutettu c) d) minimoidut loogiset yhtälöt tulojen summina ( kpl) alkuperäinen muutettu d) 5 ntti Mäntyniemi = = 5 ntti Mäntyniemi = =

8 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 5..5 Give your answers in these question papers! Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme Σ. (,,) = + ja (,,) = (++)(++)(++)(++). Esitä a) - d) minimoituna tulojen summana: a) + = b) = IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 5..5 Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme. Oheisen kuvan mukaiselle kombinaatiologiikalle löytyy käyttöä binääriaritmetiikassa. nalysoi oheisen logiikan toiminta ja ilmoita vastauksenasi: a) :n minimoitu looginen funktio: = b) Y:n minimoitu looginen funktio: Y = c) :n ja Y:n Karnaugh n kartat d) logiikan toimintaa kuvaava totuustaulu e) minkä binääriaritmetiikan operaation logiikka suorittaa? f) Vihje: Ratkaise ensin solmupiste Z =. Z Y c) + = Välivaiheita voi kirjoitella tähän... d) = Esitä e) - h) minimoituna summien tulona: e) + = c) K-kartat f) = d) totuustaulu g) + = h) = 5 ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio 5 ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

9 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 5..5 Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme. Lineaarisesti takaisinkytketyillä siirtorekistereillä (Linear eedback Shift Register = LSR) voidaan tuottaa valesatunnaisia (Pseudo Random) sekvenssejä, joita tarvitaan esim. hajaspektritietoliikenteessä ja virheenkorjauksessa. Oheinen ajoituskaavio esittää erään synkronisen (kaikilla kiikuilla yhteinen kellosignaali LK) LSR-tilakoneen tuottamat aaltomuodot. a) monenko kellojakson välein sekvenssi toistuu? b) esitä aaltomuotoa vastaava tilakaavio (katso myös kohdan d) tarkennus) c) mitkä tilat eivät kuulu sekvenssiin? d) esitä myös tilansiirtotaulukko, sekvenssiin kuulumattomien tilojen seuraava tila saa olla don t care e) esitä kiikkujen datatulojen,, Karnaugh n kartat f) esitä kiikkujen datatulojen minimoidut logiikkafunktiot tulojen summina (tilakone saa tällä kertaa jäädä jumiin sekvenssiin kuulumattomaan tilaan!) g) esitä tilakoneen logiikkakaavio käyttäen -kiikkuja ja korkeintaan kahta muuta -tuloista logiikkaporttia. -kiikuissa ei ole invertoituja lähtöjä! Kiikkujen resetiä ei tarvitse huomioida! LK b) tilakaavio d) tilansiirtotaulukko e) datatulojen K-kartat f) minimoidut yhtälöt g) logiikkakaavio = = = 5 ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Efficiency change over time

Efficiency change over time Efficiency change over time Heikki Tikanmäki Optimointiopin seminaari 14.11.2007 Contents Introduction (11.1) Window analysis (11.2) Example, application, analysis Malmquist index (11.3) Dealing with panel

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR.

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR. Luento 3 Digital logic Stallings: Appendix B Combinational Circuits Simplification Sequential Circuits Luento 3-1 Luento 3-2 George Boole u ideas 1854 Claude Shannon u apply to circuit design, 1938 u father

Lisätiedot

Telecommunication Software

Telecommunication Software Telecommunication Software Final exam 21.11.2006 COMPUTER ENGINEERING LABORATORY 521265A Vastaukset englanniksi tai suomeksi. / Answers in English or in Finnish. 1. (a) Määrittele sovellusviesti, PersonnelRecord,

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Luento 3-1 Tietokoneen rakenne Boolean Algebra Luento 3-2 Tietokoneen

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Lecture 3-1 Tietokoneen rakenne Boolean Algebra Lecture 3-2 Tietokoneen

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2016-2017 2. Lukujen esittäminen ja aritmetiikka 2.1 Kantajärjestelmät ja lukujen esittäminen Käytettävät lukujoukot: Luonnolliset luvut IN = {0,1,2,3,... } Positiiviset kokonaisluvut

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3 . a) Kriittisen polun mukaan (DFF - DFF): (DFF = D Flip-Flop = D-kiikku) T clk > t DFF t critical t setup -> T clk > ns () ns ns > 9 ns -> F clk

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNKKA JA LKTONKKA. välikoe 3.0.2006. Saat vastata vain neljään tehtävään!. Laske jännite U. = =4Ω, 3 =2Ω, = =2V, J =2A, J 2 =3A + J 2 + J 3 2. Kondensaattori on aluksi varautunut jännitteeseen

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

812336A C++ -kielen perusteet, 21.8.2010

812336A C++ -kielen perusteet, 21.8.2010 812336A C++ -kielen perusteet, 21.8.2010 1. Vastaa lyhyesti seuraaviin kysymyksiin (1p kaikista): a) Mitä tarkoittaa funktion ylikuormittaminen (overloading)? b) Mitä tarkoittaa jäsenfunktion ylimääritys

Lisätiedot

SISÄLLYS - DIGITAALITEKNIIKKA

SISÄLLYS - DIGITAALITEKNIIKKA SISÄLLYS - DIGITAALITEKNIIKKA Digitaalitekniikan perusteita...2 Bitti (bit)...2 Tavu (bytes)...2 Sana (word)...2 Yksiköt...2 Binääri järjestelmän laskutapa...2 Esimerkki: Digikuvan siirron kestoaika...2

Lisätiedot

Ohjelmoijan binaarialgebra ja heksaluvut

Ohjelmoijan binaarialgebra ja heksaluvut Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoinaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 811122P (5 op.) 12.12.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

The CCR Model and Production Correspondence

The CCR Model and Production Correspondence The CCR Model and Production Correspondence Tim Schöneberg The 19th of September Agenda Introduction Definitions Production Possiblity Set CCR Model and the Dual Problem Input excesses and output shortfalls

Lisätiedot

Kappale 20: Kantaluvut

Kappale 20: Kantaluvut Kappale 20: Kantaluvut 20 Johdanto: Kantaluvut... 328 Kantalukujen syöttäminen ja muuntaminen... 329 Matemaattiset toiminnot Hex- ja Bin-luvuilla... 330 Bittien vertaileminen ja manipulointi... 331 Huom!

Lisätiedot

Alternative DEA Models

Alternative DEA Models Mat-2.4142 Alternative DEA Models 19.9.2007 Table of Contents Banker-Charnes-Cooper Model Additive Model Example Data Home assignment BCC Model (Banker-Charnes-Cooper) production frontiers spanned by convex

Lisätiedot

Returns to Scale II. S ysteemianalyysin. Laboratorio. Esitelmä 8 Timo Salminen. Teknillinen korkeakoulu

Returns to Scale II. S ysteemianalyysin. Laboratorio. Esitelmä 8 Timo Salminen. Teknillinen korkeakoulu Returns to Scale II Contents Most Productive Scale Size Further Considerations Relaxation of the Convexity Condition Useful Reminder Theorem 5.5 A DMU found to be efficient with a CCR model will also be

Lisätiedot

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoimaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

Other approaches to restrict multipliers

Other approaches to restrict multipliers Other approaches to restrict multipliers Heikki Tikanmäki Optimointiopin seminaari 10.10.2007 Contents Short revision (6.2) Another Assurance Region Model (6.3) Cone-Ratio Method (6.4) An Application of

Lisätiedot

Capacity utilization

Capacity utilization Mat-2.4142 Seminar on optimization Capacity utilization 12.12.2007 Contents Summary of chapter 14 Related DEA-solver models Illustrative examples Measure of technical capacity utilization Price-based measure

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 81122P (4 ov.) 30.5.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

make and make and make ThinkMath 2017

make and make and make ThinkMath 2017 Adding quantities Lukumäärienup yhdistäminen. Laske yhteensä?. Countkuinka howmonta manypalloja ballson there are altogether. and ja make and make and ja make on and ja make ThinkMath 7 on ja on on Vaihdannaisuus

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

Capacity Utilization

Capacity Utilization Capacity Utilization Tim Schöneberg 28th November Agenda Introduction Fixed and variable input ressources Technical capacity utilization Price based capacity utilization measure Long run and short run

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

I. AES Rijndael. Rijndael - Internal Structure

I. AES Rijndael. Rijndael - Internal Structure I. AES Rndael NOKIA T-79.53 Additional material Oct 3/KN Rndael - Internal Structure Rndael is an iterated block cipher with variable length block and variable key size. The number of rounds is defined

Lisätiedot

16. Allocation Models

16. Allocation Models 16. Allocation Models Juha Saloheimo 17.1.27 S steemianalsin Optimointiopin seminaari - Sks 27 Content Introduction Overall Efficienc with common prices and costs Cost Efficienc S steemianalsin Revenue

Lisätiedot

FinFamily PostgreSQL installation ( ) FinFamily PostgreSQL

FinFamily PostgreSQL installation ( ) FinFamily PostgreSQL FinFamily PostgreSQL 1 Sisällys / Contents FinFamily PostgreSQL... 1 1. Asenna PostgreSQL tietokanta / Install PostgreSQL database... 3 1.1. PostgreSQL tietokannasta / About the PostgreSQL database...

Lisätiedot

Teknillinen tiedekunta, matematiikan jaos Numeeriset menetelmät

Teknillinen tiedekunta, matematiikan jaos Numeeriset menetelmät Numeeriset menetelmät 1. välikoe, 14.2.2009 1. Määrää matriisin 1 1 a 1 3 a a 4 a a 2 1 LU-hajotelma kaikille a R. Ratkaise LU-hajotelmaa käyttäen yhtälöryhmä Ax = b, missä b = [ 1 3 2a 2 a + 3] T. 2.

Lisätiedot

Bounds on non-surjective cellular automata

Bounds on non-surjective cellular automata Bounds on non-surjective cellular automata Jarkko Kari Pascal Vanier Thomas Zeume University of Turku LIF Marseille Universität Hannover 27 august 2009 J. Kari, P. Vanier, T. Zeume (UTU) Bounds on non-surjective

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

Esimerkki 1: Kahviautomaatti.

Esimerkki 1: Kahviautomaatti. Esimerkki 1: Kahviautomaatti. ÄÄRELLISET AUTOAATIT JA SÄÄNNÖLLISET KIELET 2.1 Tilakaaviot ja tilataulut Tarkastellaan aluksi tietojenkäsittelyjärjestelmiä, joilla on vain äärellisen monta mahdollista tilaa.

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 Luento 6: Tiedon esittäminen tietokoneessa, osa 1 Tekijät: Antti Virtanen, Timo Lehtonen, Matti Kujala, Kirsti Ala-Mutka, Petri M. Gerdt et al. Luennon

Lisätiedot

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Kilpailijan nimi: 1) Oheisen kytkennän kokonaisresistanssi on n. 33 Ohm 150 Ohm a) 70 Ohmia b) 100 Ohmia c) 120 Ohmia 120 Ohm 2) Oheisen kytkennän

Lisätiedot

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen.9.2 Fe Lukujärjestelmät Kymmen- eli desimaalijärjestelmä: kantaluku perinteisesti käytetty ja tuttu numerot,,

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.1100 SÄHKÖTKNIIKKA JA LKTONIIKKA Tentti 15.5.2006: tehtävät 1,3,5,7,10 1. välikoe: tehtävät 1,2,3,4,5 2. välikoe: tehtävät 6,7,8,9,10 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita!

Lisätiedot

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A.

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A. Tehtävä. Tämä tehtävä on aineistotehtävä, jossa esitetään ensin tehtävän teoria. Sen jälkeen esitetään neljä kysymystä, joissa tätä teoriaa pitää soveltaa. Mitään aikaisempaa tehtävän aihepiirin tuntemusta

Lisätiedot

Huom. tämä kulma on yhtä suuri kuin ohjauskulman muutos. lasketaan ajoneuvon keskipisteen ympyräkaaren jänteen pituus

Huom. tämä kulma on yhtä suuri kuin ohjauskulman muutos. lasketaan ajoneuvon keskipisteen ympyräkaaren jänteen pituus AS-84.327 Paikannus- ja navigointimenetelmät Ratkaisut 2.. a) Kun kuvan ajoneuvon kumpaakin pyörää pyöritetään tasaisella nopeudella, ajoneuvon rata on ympyränkaaren segmentin muotoinen. Hitaammin kulkeva

Lisätiedot

(0 1) 010(0 1) Koska kieli on yksinkertainen, muodostetaan sen tunnistava epädeterministinen q 0 q 1 q 2 q3

(0 1) 010(0 1) Koska kieli on yksinkertainen, muodostetaan sen tunnistava epädeterministinen q 0 q 1 q 2 q3 T-79.48 Tietojenkäsittelyteorian perusteet Tentti 25..23 mallivastaukset. Tehtävä: Kuvaa seuraavat kielet sekä säännölisten lausekkeiden että determinististen äärellisten automaattien avulla: (a) L = {w

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

Operatioanalyysi 2011, Harjoitus 3, viikko 39

Operatioanalyysi 2011, Harjoitus 3, viikko 39 Operatioanalyysi 2011, Harjoitus 3, viikko 39 H3t1, Exercise 3.1. H3t2, Exercise 3.2. H3t3, Exercise 3.3. H3t4, Exercise 3.4. H3t5 (Exercise 3.1.) 1 3.1. Find the (a) standard form, (b) slack form of the

Lisätiedot

Lue tehtävänannot huolella. Tee pisteytysruudukko 1. konseptin yläreunaan. ILMAN LASKINTA -OSIO! LASKE KAIKKI SEURAAVAT TEHTÄVÄT:

Lue tehtävänannot huolella. Tee pisteytysruudukko 1. konseptin yläreunaan. ILMAN LASKINTA -OSIO! LASKE KAIKKI SEURAAVAT TEHTÄVÄT: MAA Koe 8.1.014 Arto Hekkanen ja Jussi Tyni Lue tehtävänannot huolella. Tee pisteytysruudukko 1. konseptin yläreunaan. ILMAN LASKINTA -OSIO! LASKE KAIKKI SEURAAVAT TEHTÄVÄT: 1. a) Laske polynomien x x

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma M-koodit Omron servojen ohjauksessa Tekijän nimi Ryhmätunnus Syventävä työ Jouni Lamminen EE01POS 4. vuosikurssin syventävä Luovutettu Hyväksytty

Lisätiedot

Operatioanalyysi 2011, Harjoitus 4, viikko 40

Operatioanalyysi 2011, Harjoitus 4, viikko 40 Operatioanalyysi 2011, Harjoitus 4, viikko 40 H4t1, Exercise 4.2. H4t2, Exercise 4.3. H4t3, Exercise 4.4. H4t4, Exercise 4.5. H4t5, Exercise 4.6. (Exercise 4.2.) 1 4.2. Solve the LP max z = x 1 + 2x 2

Lisätiedot

Kvanttilaskenta - 1. tehtävät

Kvanttilaskenta - 1. tehtävät Kvanttilaskenta -. tehtävät Johannes Verwijnen January 9, 0 edx-tehtävät Vastauksissa on käytetty edx-kurssin materiaalia.. Problem False, sillä 0 0. Problem False, sillä 0 0 0 0. Problem A quantum state

Lisätiedot

Palautteita. Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi

Palautteita. Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi Palautteita Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi 504 Mitä range() tekee? range on funktio, joka palauttaa listan esim. a = range(5,10) Palauttaa listan [5,6,7,8,9] Siis nämä kolme

Lisätiedot

Kvanttilaskenta - 2. tehtävät

Kvanttilaskenta - 2. tehtävät Kvanttilaskenta -. tehtävät Johannes Verwijnen January 8, 05 edx-tehtävät Vastauksissa on käytetty edx-kurssin materiaalia.. Problem The inner product of + and is. Edelleen false, kts. viikon tehtävä 6..

Lisätiedot

Esimerkkitehtäviä, A-osa

Esimerkkitehtäviä, A-osa Esimerkkitehtäviä, A-osa MAB1, harjaantuu käyttämään matematiikkaa jokapäiväisen elämän ongelmien ratkaisemisessa Jussi myy torilla marjoja. Erään asiakkaan ostokset maksavat 8,65e. Asiakas antaa Jussille

Lisätiedot

Tietokonearitmetiikka

Tietokonearitmetiikka Luento 6 ALU: Aritmeettis-Looginen Yksikkö Tietokonearitmetiikka Stallings: Ch 9 Kokonaislukuesitys Kokonaislukuaritmetiikka Liukulukuesitys Liukulukuaritmetiikka Luento 6-1 ALU = Aritmetic Logic Unit

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Tietokonearitmetiikka

Tietokonearitmetiikka Tietokoneen rakenne Luento 6 Tietokonearitmetiikka Stallings: Ch 9 Kokonaislukuesitys Kokonaislukuaritmetiikka Liukulukuesitys Liukulukuaritmetiikka Luento 6-1 ALU: Aritmeettis-Looginen Yksikkö ALU = Aritmetic

Lisätiedot

Signaalien generointi

Signaalien generointi Signaalinkäsittelyssä joudutaan usein generoimaan erilaisia signaaleja keinotekoisesti. Tyypillisimpiä generoitavia aaltomuotoja ovat eritaajuiset sinimuotoiset signaalit (modulointi) sekä normaalijakautunut

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

The Viking Battle - Part Version: Finnish

The Viking Battle - Part Version: Finnish The Viking Battle - Part 1 015 Version: Finnish Tehtävä 1 Olkoon kokonaisluku, ja olkoon A n joukko A n = { n k k Z, 0 k < n}. Selvitä suurin kokonaisluku M n, jota ei voi kirjoittaa yhden tai useamman

Lisätiedot

ITKP102 Ohjelmointi 1 (6 op)

ITKP102 Ohjelmointi 1 (6 op) ITKP102 Ohjelmointi 1 (6 op) Tentaattori: Antti-Jussi Lakanen 12. huhtikuuta 2019 Tee kukin tehtävä omalle konseptiarkille. Noudata ohjelmointitehtävissä kurssin koodauskäytänteitä. Yksi A4-kokoinen lunttilappu

Lisätiedot

Mat Seminar on Optimization. Data Envelopment Analysis. Economies of Scope S ysteemianalyysin. Laboratorio. Teknillinen korkeakoulu

Mat Seminar on Optimization. Data Envelopment Analysis. Economies of Scope S ysteemianalyysin. Laboratorio. Teknillinen korkeakoulu Mat-2.4142 Seminar on Optimization Data Envelopment Analysis Economies of Scope 21.11.2007 Economies of Scope Introduced 1982 by Panzar and Willing Support decisions like: Should a firm... Produce a variety

Lisätiedot

Salasanan vaihto uuteen / How to change password

Salasanan vaihto uuteen / How to change password Salasanan vaihto uuteen / How to change password Sisällys Salasanakäytäntö / Password policy... 2 Salasanan vaihto verkkosivulla / Change password on website... 3 Salasanan vaihto matkapuhelimella / Change

Lisätiedot

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi.

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi. Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) A + 1 = 1 A + B C = (A + B) (A + C) F(A, B, C) = Σ m (2, 3, 5, 7) Maksimitermi A = A m0 A 0 = 0 M7 A + B = A B Minimitermi Digitaalitekniikan matematiikka

Lisätiedot

Gap-filling methods for CH 4 data

Gap-filling methods for CH 4 data Gap-filling methods for CH 4 data Sigrid Dengel University of Helsinki Outline - Ecosystems known for CH 4 emissions; - Why is gap-filling of CH 4 data not as easy and straight forward as CO 2 ; - Gap-filling

Lisätiedot

Kysymys 5 Compared to the workload, the number of credits awarded was (1 credits equals 27 working hours): (4)

Kysymys 5 Compared to the workload, the number of credits awarded was (1 credits equals 27 working hours): (4) Tilasto T1106120-s2012palaute Kyselyn T1106120+T1106120-s2012palaute yhteenveto: vastauksia (4) Kysymys 1 Degree programme: (4) TIK: TIK 1 25% ************** INF: INF 0 0% EST: EST 0 0% TLT: TLT 0 0% BIO:

Lisätiedot

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe SGN-00 Signaalinkäsittelyn menetelmät Välikoe 9.3.009 Sivuilla - on. Älä vastaa siihen, jos et ollut ensimmäisessä välikokeessa. Tentin kysymykset ovat sivuilla 3-4. Vastaa vain jompaan kumpaan kokeeseen,

Lisätiedot