AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja
|
|
- Kaija Asta Sariola
- 8 vuotta sitten
- Katselukertoja:
Transkriptio
1 AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat. Top-level on: -- Janne Koljonen -- University of Vaasa library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- Top-level entity: change the architecture of the component in the for...use clause. entity Tablesum is generic(bits : Natural := 4); port( reset, clock : in std_logic; x0: in std_logic_vector(bits-1 downto 0); x1: in std_logic_vector(bits-1 downto 0); x2: in std_logic_vector(bits-1 downto 0); x3: in std_logic_vector(bits-1 downto 0); x4: in std_logic_vector(bits-1 downto 0); x5: in std_logic_vector(bits-1 downto 0); y0: out std_logic_vector(bits-1 downto 0); y1: out std_logic_vector(bits-1 downto 0); y2: out std_logic_vector(bits-1 downto 0); y3: out std_logic_vector(bits-1 downto 0); y4: out std_logic_vector(bits-1 downto 0); y5: out std_logic_vector(bits-1 downto 0) ); end Tablesum; architecture arch of Tablesum is component Tablesum2 is generic(bits : Natural); port( reset, clock : in std_logic; x0: in std_logic_vector(bits-1 downto 0); x1: in std_logic_vector(bits-1 downto 0); x2: in std_logic_vector(bits-1 downto 0); x3: in std_logic_vector(bits-1 downto 0); x4: in std_logic_vector(bits-1 downto 0); x5: in std_logic_vector(bits-1 downto 0); y0: out std_logic_vector(bits-1 downto 0); y1: out std_logic_vector(bits-1 downto 0); y2: out std_logic_vector(bits-1 downto 0); jako@uwasa.fi 1
2 y3: out std_logic_vector(bits-1 downto 0); y4: out std_logic_vector(bits-1 downto 0); y5: out std_logic_vector(bits-1 downto 0) ); end component; for u1: Tablesum2 use entity Work.Tablesum2(arch4); u1: Tablesum2 generic map(bits) port map(reset, clock, x0, x1, x2, x3, x4, x5, y0, y1, y2, y3, y4, y5); end arch; ja komponentin entity: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- Component entity with different architectures entity Tablesum2 is generic(bits : Natural); port( reset, clock : in std_logic; x0: in std_logic_vector(bits-1 downto 0); x1: in std_logic_vector(bits-1 downto 0); x2: in std_logic_vector(bits-1 downto 0); x3: in std_logic_vector(bits-1 downto 0); x4: in std_logic_vector(bits-1 downto 0); x5: in std_logic_vector(bits-1 downto 0); y0: out std_logic_vector(bits-1 downto 0); y1: out std_logic_vector(bits-1 downto 0); y2: out std_logic_vector(bits-1 downto 0); y3: out std_logic_vector(bits-1 downto 0); y4: out std_logic_vector(bits-1 downto 0); y5: out std_logic_vector(bits-1 downto 0) ); end Tablesum2; 1. Data path, pipelining, synkroninen logiikka Oletetaan, että pitää laskea 5-paikkaisen syötevektorin x summia seuraavasti: n y n = x i, jossa n = {0, 1,, 5} ja x i on b-bittinen luku. i= 0 Tee VHDL-kuvaus piiristä, joka laskee summat seuraavasti: y 0 = x 0, y 1 = y 0 + x 1, jne. Kellota piiri siten, että summan ulostuloissa on D-kiikut. -- Pipeline: kiikku ulostulossa. architecture arch1 of Tablesum2 is jako@uwasa.fi 2
3 y0 <= (others => '0'); y1 <= (others => '0'); y2 <= (others => '0'); y3 <= (others => '0'); y4 <= (others => '0'); y5 <= (others => '0'); y0 <= x0; y1 <= x0 + x1; y2 <= x0 + x1 + x2; y3 <= x0 + x1 + x2 + x3; y4 <= x0 + x1 + x2 + x3 + x4; y5 <= x0 + x1 + x2 + x3 + x4 + x5; end arch1; 2. Summat 2 Tee VHDL-kuvaus piiristä, joka laskee tehtävän 1 summat seuraavasti: y 0 = x 0, y 1 = y 0 + x 1, jne. Kellota piiri siten, että jokaisen välisumman ulostulossa on D-kiikku. Muista viivästää myös muita signaaleja D-kiikuilla. -- Pipeline: kiikut jokaisen summaimen jälkeen. -- Ulostulojen latenssiviiveet yhdenmukaistettu. architecture arch3 of Tablesum2 is -- Latenssiviivesignaalit signal s_x2_1, s_x3_1, s_x3_2, s_x4_1, s_x4_2, s_x4_3, s_x5_1, s_x5_2, s_x5_3, s_x5_4, s_x6_1, s_x6_2, s_x6_3, s_x6_4, s_x6_5 : std_logic_vector(bits-1 downto 0); signal s_y0_1, s_y0_2, s_y0_3, s_y0_4 : std_logic_vector(bits-1 downto 0); signal s_y1_1, s_y1_2, s_y1_3, s_y1_4 : std_logic_vector(bits-1 downto 0); signal s_y2_2, s_y2_3, s_y2_4 : std_logic_vector(bits-1 downto 0); signal s_y3_3, s_y3_4 : std_logic_vector(bits-1 downto 0); signal s_y4_4 : std_logic_vector(bits-1 downto 0); s_x2_1 <= (others => '0'); s_x3_1 <= (others => '0'); s_x3_2 <= (others => '0'); s_x4_1 <= (others => '0'); s_x4_2 <= (others => '0'); s_x4_3 <= (others => '0'); s_x5_1 <= (others => '0'); s_x5_2 <= (others => '0'); s_x5_3 <= (others => '0'); jako@uwasa.fi 3
4 s_x5_4 <= (others => '0'); s_y0_1 <= (others => '0'); s_y0_2 <= (others => '0'); s_y0_3 <= (others => '0'); s_y0_4 <= (others => '0'); y0 <= (others => '0'); s_y1_1 <= (others => '0'); s_y1_2 <= (others => '0'); s_y1_3 <= (others => '0'); s_y1_4 <= (others => '0'); y1 <= (others => '0'); s_y2_2 <= (others => '0'); s_y2_3 <= (others => '0'); s_y2_4 <= (others => '0'); y2 <= (others => '0'); s_y3_3 <= (others => '0'); s_y3_4 <= (others => '0'); y3 <= (others => '0'); s_y4_4 <= (others => '0'); y4 <= (others => '0'); y5 <= (others => '0'); kerros s_x2_1 <= x2; s_x3_1 <= x3; s_x4_1 <= x4; s_x5_1 <= x5; s_y0_1 <= x0; s_y1_1 <= x0 + x1; kerros s_x3_2 <= s_x3_1; s_x4_2 <= s_x4_1; s_x5_2 <= s_x5_1; s_y0_2 <= s_y0_1; s_y1_2 <= s_y1_1; s_y2_2 <= s_y1_1 + s_x2_1; kerros s_x4_3 <= s_x4_2; s_x5_3 <= s_x5_2; s_y0_3 <= s_y0_2; s_y1_3 <= s_y1_2; s_y2_3 <= s_y2_2; s_y3_3 <= s_y2_2 + s_x3_2; kerros s_x5_4 <= s_x5_3; s_y0_4 <= s_y0_3; jako@uwasa.fi 4
5 s_y1_4 <= s_y1_3; s_y2_4 <= s_y2_3; s_y3_4 <= s_y3_3; s_y4_4 <= s_y3_3 + s_x4_3; kerros y0 <= s_y0_4; y1 <= s_y1_4; y2 <= s_y2_4; y3 <= s_y3_4; y4 <= s_y4_4; y5 <= s_y4_4 + s_x5_4; end arch3; 3. Summat 3 Tee VHDL-kuvaus piiristä, joka laskee tehtävän 1 summat käyttäen sopivaa pyramidirakennetta (tarvitaan kolme laskentakerrosta). Kellota piiri kerroksittain. Muista viivästää myös muita signaaleja D-kiikuilla. -- Rinnakkaissuutta hyödyntävä ratkaisu. -- Ulostulojen latenssiviiveet yhdenmukaistettu. architecture arch4 of Tablesum2 is -- Apusummat signal s_x23_1, s_x45_1, s_x45_2 : std_logic_vector(bits-1 downto 0); -- Latenssiviivesignaalit signal s_x2_1, s_x4_1, s_x4_2 : std_logic_vector(bits-1 downto 0); signal s_y0_1, s_y0_2 : std_logic_vector(bits-1 downto 0); signal s_y1_1, s_y1_2 : std_logic_vector(bits-1 downto 0); signal s_y2_2 : std_logic_vector(bits-1 downto 0); signal s_y3_2 : std_logic_vector(bits-1 downto 0); s_x23_1 <= (others => '0'); s_x45_1 <= (others => '0'); s_x45_2 <= (others => '0'); s_y0_1 <= (others => '0'); s_y0_2 <= (others => '0'); y0 <= (others => '0'); s_y1_1 <= (others => '0'); s_y1_2 <= (others => '0'); y1 <= (others => '0'); s_y2_2 <= (others => '0'); y2 <= (others => '0'); jako@uwasa.fi 5
6 s_y3_2 <= (others => '0'); y3 <= (others => '0'); y4 <= (others => '0'); y5 <= (others => '0'); kerros s_x2_1 <= x2; s_x4_1 <= x4; s_x23_1 <= x2 + x3; s_x45_1 <= x4 + x5; s_y0_1 <= x0; s_y1_1 <= x0 + x1; kerros s_x4_2 <= s_x4_1; s_x45_2 <= s_x45_1; s_y0_2 <= s_y0_1; s_y1_2 <= s_y1_1; s_y2_2 <= s_y1_1 + s_x2_1; s_y3_2 <= s_y1_1 + s_x23_1; kerros y0 <= s_y0_2; y1 <= s_y1_2; y2 <= s_y2_2; y3 <= s_y3_2; y4 <= s_x4_2 + s_y3_2; y5 <= s_x45_2 + s_y3_2; end arch4; 4. Summat 4 Tee VHDL-kuvaus piiristä, joka laskee tehtävän 1 summat iteratiivisesti yhdellä summaimella. -- Iteratiivinen ratkaisu. Vastaa sekventiaalista ohjelmaa. architecture arch0 of Tablesum2 is signal s_y0, s_y1, s_y2, s_y3, s_y4, s_y5 : std_logic_vector(bits- 1 downto 0); variable i : Natural; i := 0; s_y0 <= (others => '0'); s_y1 <= (others => '0'); s_y2 <= (others => '0'); s_y3 <= (others => '0'); s_y4 <= (others => '0'); s_y5 <= (others => '0'); jako@uwasa.fi 6
7 case i is when 0 => s_y0 <= x0; s_y1 <= x0 + x1; when 1 => s_y2 <= s_y1 + x2; when 2 => s_y3 <= s_y2 + x3; when 3 => s_y4 <= s_y3 + x4; when 4 => s_y5 <= s_y4 + x5; when 5 => y0 <= s_y0; y1 <= s_y1; y2 <= s_y2; y3 <= s_y3; y4 <= s_y4; y5 <= s_y5; i := 0; when others => i := 0; end case; end arch0; jako@uwasa.fi 7
AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja
AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,
2_1----~--~r--1.~--~--~--,.~~
K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition
Verilogvs. VHDL. Janne Koljonen University of Vaasa
Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet
21~--~--~r--1~~--~--~~r--1~
- K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition
VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä
Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;
VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla
Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.
ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg
Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä
VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)
Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen
Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset
Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi
BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit
BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,
Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ
Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ
V H D L. Very high speed integrated circuits Hardware Description Language
V H D L H. Honkanen Very high speed integrated circuits Hardware Description Language Allaolevassa kuvassa on esitetty FGPA tai ASIC piirin suunnittelun vuokaavio. Kuva: FPGA piirin suunnittelu Tästä kokonaisuudesta
Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri
Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien
BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut
BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia
Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA
Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Tekniikka 2018 VAASAN AMMATTIKORKEAKOULU Tietotekniikka TIIVISTELMÄ Tekijä Turo Id Opinnäytetyön nimi Mikroprosessorijärjestelmän suunnittelu FPGA:lla
SIMULINK 5.0 Harjoitus. Matti Lähteenmäki 2004 www.tpu.fi/~mlahteen/
SIMULINK 5.0 Harjoitus 2004 www.tpu.fi/~mlahteen/ SIMULINK 5.0 Harjoitus 2 Harjoitustehtävä. Tarkastellaan kuvan mukaisen yhden vapausasteen jousi-massa-vaimennin systeemin vaakasuuntaista pakkovärähtelyä,
kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.
Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?
Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3
Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja
Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen
Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä
Synkronisten sekvenssipiirien suunnittelu
Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien
Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen
Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä
ELEC-C5070 Elektroniikkapaja (5 op)
(5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea
F = AB AC AB C C Tarkistus:
Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!
c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt
IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien
SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op) 12.1. 17.12.2010
1 SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op) 12.1. 17.12.2010 2 KAJAANIN AMMATTIKORKEAKOULU Koulutuspalvelut SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op)
VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA. Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN
VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN Diplomityö, joka on jätetty tarkastettavaksi diplomi-insinöörin tutkintoa varten Vaasassa 08.05.2015
Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068
Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.
Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit
Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään
ELEC-C3240 Elektroniikka 2
ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite
BM20A5840 Usean muuttujan funktiot ja sarjat Harjoitus 7, Kevät 2018
BM20A5840 Usean muuttujan funktiot ja sarjat Harjoitus 7, Kevät 2018 Tehtävä 8 on tällä kertaa pakollinen. Aloittakaapa siitä. 1. Kun tässä tehtävässä sanotaan sopii mahdollisimman hyvin, sillä tarkoitetaan
Digitaalilaitteen signaalit
Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä
Harjoitus 6 -- Ratkaisut
Harjoitus 6 -- Ratkaisut 1 Ei kommenttia. 2 Haetaan data tiedostosta. SetDirectory"homeofysjmattas" SetDirectory "c:documents and settingsmattasdesktopteachingatk2harjoitukseth06" netnfstuhome4ofysjmattas
Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2
Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan
[MTTTA] TILASTOMENETELMIEN PERUSTEET, KEVÄT 209 https://coursepages.uta.fi/mttta/kevat-209/ HARJOITUS 5 viikko 8 RYHMÄT: ke 2.5 3.45 ls. C6 Leppälä to 08.30 0.00 ls. C6 Korhonen to 2.5 3.45 ls. C6 Korhonen
Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15)
Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) A = a = i i w i Digitaalitekniikan matematiikka Luku 12 Sivu 2 (15) Johdanto Tässä luvussa esitetään kymmenjärjestelmän lukujen eli BCD-lukujen esitystapoja
Sekvenssipiirin tilat
igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava
A/D-muuntimia. Flash ADC
A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (
Harjoitus 6: Simulink - Säätöteoria. Syksy 2006. Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1
Harjoitus 6: Simulink - Säätöteoria Mat-2.2107 Sovelletun matematiikan tietokonetyöt Syksy 2006 Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoituksen aiheita Tutustuminen säätötekniikkaan Takaisinkytkennän
Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi
Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi Oman painonappi komponentin luonti 6 versiossa Flowcode 6 versio mahdollistaa omien Flowcode komponenttien tekemisen. Komponentit on mahdollista piirtää
C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out
Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka
Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R
igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia
LIITE 1 1. Tehtävänä on mallintaa kitara ohjeiden mukaan käyttäen Edit Poly-tekniikkaa.
LIITE 1 1 HARJOITUS 1 Kitara Tehtävänä on mallintaa kitara ohjeiden mukaan käyttäen Edit Poly-tekniikkaa. Käsiteltävät asiat Edit Poly Muokkaus kuvan mukaan TurboSmooth Extrude 1. Tarkistetaan että mittayksiköt
Mediaanisuodattimet. Tähän asti käsitellyt suodattimet ovat olleet lineaarisia. Niille on tyypillistä, että. niiden ominaisuudet tunnetaan hyvin
Mediaanisuodattimet Tähän asti käsitellyt suodattimet ovat olleet lineaarisia. Niille on tyypillistä, että niiden ominaisuudet tunnetaan hyvin niiden analysointiin on olemassa vakiintuneita menetelmiä
Kombinatorisen logiikan laitteet
Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon
Pakettisynkronointitestauksen automaatio
Pakettisynkronointitestauksen automaatio Risto Hietala valvoja: Prof. Riku Jäntti ohjaaja: DI Jonas Lundqvist ESITYKSEN RAKENNE Tietoverkkojen synkronointi Pakettikytkentäisten verkkojen synkronointi Ohjelmistotestaus
ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä
ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/
1 Muutokset piirilevylle
1 Muutokset piirilevylle Seuraavat muutokset täytyvät olla piirilevylle tehtynä, jotta tätä käyttöohjetta voidaan käyttää. Jumppereiden JP5, JP6, JP7, sekä JP8 ja C201 väliltä puuttuvat signaalivedot on
6. Arkkitehtuurityylit
6. Arkkitehtuurityylit Osittavat arkkitehtuurityylit - Kerrosarkkitehtuurit - Tietovuoarkkitehtuurit Palveluihin perustuvat arkkitehtuurityylit - Asiakas-palvelin arkkitehtuurit - Viestinvälitysarkkitehtuurit
Radioamatöörikurssi 2015
Radioamatöörikurssi 2015 Polyteknikkojen Radiokerho Radiotekniikka 5.11.2015 Tatu Peltola, OH2EAT 1 / 25 Vahvistimet Vahvistin ottaa signaalin sisään ja antaa sen ulos suurempitehoisena Tehovahvistus,
Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen
Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Laitteiden sisäiseen tietoliikenteeseen on kehitetty omat dataväylänsä. Näistä tärkeimmät: 1 wire [ käyttää mm. Dallas, Maxim. ] I²C [ Trademark
TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli
TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne
VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009
VHDL/Verilog/SystemC Jukka Jokelainen 20.10.2009 Sisältö Mitä ihmettä on hardwaren ohjelmointi? VHDL Verilog SystemC Analogiaelektroniikan yhdistäminen digitaaliseen maailmaan Yhteenveto ja pohdintaa Hardwaren
Tietokonearkkitehtuuri 2 TKT-3201 (5 op)
Tietokonearkkitehtuuri 2 (5 op) syksyllä 2012 periodit I & II (viikot 35-41 & 43-49) luennot tiistaisin klo 14-16 (periodi I: sali S4, periodi II: sali TB109) Kurssin tavoite Käydään läpi tietokoneen toimintaa
Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena
Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen
Harjoitustehtävien ratkaisut
Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,
7.4 Variability management
7.4 Variability management time... space software product-line should support variability in space (different products) support variability in time (maintenance, evolution) 1 Product variation Product
Object Framework - One. OF-1 is a high-productive Multi-UI OpenEdge data driven development framework. Veli-Matti Korhonen
Object Framework - One OF-1 is a high-productive Multi-UI OpenEdge data driven development framework Veli-Matti Korhonen Aiheet OF-1 esittely Mitä ominaisuuksia saa ilman ohjelmointia Miten ohjelmoidaan
Käytännön logiikkapiirit ja piirrosmerkit
Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja
0 v i v j / E, M ij = 1 v i v j E.
Vieruspistematriisi Graafi esitetään tietokoneessa useimmiten matriisin avulla. Graafin G = (V, E), V = {v 1, v 2,..., v n } vieruspistematriisi (adjacency matrix)on n n matriisi M = (M ij ), missä n on
Laurea-ammattikorkeakoulu. CRM-järjestelmäratkaisun toteutus - Case Firma
Laurea-ammattikorkeakoulu CRM-järjestelmäratkaisun toteutus - Case Firma Laurea-ammattikorkeakoulu Tiivistelmä CRM - järjestelmäratkaisun toteutus Case Firma Laurea University of Applied Sciences Abstract
ALTERA DE2 KEHITYS- JA OPETUSALUSTA
ALTERA DE2 KEHITYS- JA OPETUSALUSTA Eeva Siuruainen Opinnäytetyö 15.12.2011 Tietotekniikan koulutusohjelma Oulun seudun ammattikorkeakoulu OULUN SEUDUN AMMATTIKORKEAKOULU TIIVISTELMÄ Koulutusohjelma Opinnäytetyö
x 4 e 2x dx Γ(r) = x r 1 e x dx (1)
HY / Matematiikan ja tilastotieteen laitos Todennäköisyyslaskenta IIA, syksy 217 217 Harjoitus 6 Ratkaisuehdotuksia Tehtäväsarja I 1. Laske numeeriset arvot seuraaville integraaleille: x 4 e 2x dx ja 1
Arduino. Kimmo Silvonen (X)
Arduino Kimmo Silvonen (X) Arduino, toimiva oma versio (osat Pajalla) ATmega 328P IC DIL-kotelossa (DIP) päältä katsottuna, Arduinon pinnit +5 V TX RX DTR FT232 10k 22p 22p Reset 1 RX D0 TX D1 D2 PWM D3
Ratkaisu. Ensimmäinen kuten P Q, toinen kuten P Q. Kolmas kuten P (Q R):
Diskreetti matematiikka, sks 2010 Harjoitus 2, ratkaisuista 1. Seuraavassa on kuvattu kolme virtapiiriä, joissa on paristo, sopiva lamppu L ja katkaisimia P, Q, R, joiden läpi virta kulkee (1) tai ei kulje
LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje
LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä 2. Kirjoita hakukenttään:
1. Maarittele termit/vastaa Iyhyesti. Arvostelu: 1p/oikea vaihtoehto. Ei miinuspisteita
/ TTY Hydrauliikan ja automatiikan laitos IHA3 Ohjausjarjestelmat koneautomaatiossa Tentti 9..29/ Esa akinen Vastaajan nimi ja opno: uistiinpanojen ja kirjallisuuden kaytt5 on kielletty. Tehtavapaperit
Multivibraattorit. Bistabiili multivibraattori:
Multivibraattorit Elektroniikan piiri jota käytetään erilaisissa kahden tason systeemeissä kuten oskillaattorit, ajastimet tai kiikkut. Multivibraattorissa on vahvistava elementtti ja ristiinkytketyt rvastukset
1 db Compression point
Spektrianalysaattori mittaukset 1. Työn tarkoitus Työssä tutustutaan vahvistimen ja mixerin perusmittauksiin ja spektrianalysaattorin toimintaan. 2. Teoriaa RF- vahvistimen ominaisuudet ja käyttäytyminen
Uusi Ajatus Löytyy Luonnosta 4 (käsikirja) (Finnish Edition)
Uusi Ajatus Löytyy Luonnosta 4 (käsikirja) (Finnish Edition) Esko Jalkanen Click here if your download doesn"t start automatically Uusi Ajatus Löytyy Luonnosta 4 (käsikirja) (Finnish Edition) Esko Jalkanen
Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)
Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla
1 Tietoliikennelaboratorio V0.0. X
1 WCDMA SIGNAALIEN MITTAUKSET 4. Käytettävät välineet Signaalianalysaattori FSIQ 3 Rohde&Schwarz Signaaligeneraattori SMIQ 03 Rohde&Schwarz ZKL-2R5 (etsi speksit) 4.1 Aseta Rohde&Schwarz SMIQ signaali
Muuntavat analogisen signaalin digitaaliseksi Vertaa sisääntulevaa signaalia referenssijännitteeseen Sarja- tai rinnakkaismuotoinen Tyypilliset
Muuntavat analogisen signaalin digitaaliseksi Vertaa sisääntulevaa signaalia referenssijännitteeseen Sarja- tai rinnakkaismuotoinen Tyypilliset valintakriteerit resoluutio ja nopeus Yleisimmät A/D-muunnintyypit:
58131 Tietorakenteet ja algoritmit (syksy 2015)
58131 Tietorakenteet ja algoritmit (syksy 2015) Harjoitus 2 (14. 18.9.2015) Huom. Sinun on tehtävä vähintään kaksi tehtävää, jotta voit jatkaa kurssilla. 1. Erään algoritmin suoritus vie 1 ms, kun syötteen
Tilastotieteen jatkokurssi syksy 2003 Välikoe 2 11.12.2003
Nimi Opiskelijanumero Tilastotieteen jatkokurssi syksy 2003 Välikoe 2 11.12.2003 Normaalisti jakautuneiden yhdistyksessä on useita tuhansia jäseniä. Yhdistyksen sääntöjen mukaan sääntöihin tehtävää muutosta
ABT PUNNITSEVA HAARUKKAVAUNU ECONOMY
ABT PUNNITSEVA HAARUKKAVAUNU ECONOMY Lue käyttöohje ennen vaunun käyttöönottoa! Sisällys 1. Johdanto 2. Erittely 3. Varoitukset ja turvaohjeet 4. Haarukkavaunun käyttäminen 4.1 Käyttö 4.2 Näytön toiminnot
LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti
LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä
Kehittyneiden Aaltomuotojen Käytettävyys HF-alueen Tiedonsiirrossa
MATNE Tutkimusseminaari 17.11.2011 Kehittyneiden Aaltomuotojen Käytettävyys HF-alueen Tiedonsiirrossa Markku Jokinen 2 Sisällys Johdanto WARP ohjelmistoradioalusta HF-toteutus lmenneet rajoitukset ohjelmistoradioalustalla
Co-Design Yhteissuunnittelu
Co-Design Yhteissuunnittelu Tuuli Mattelmäki DA, associate professor Aalto University School of Arts, Design and Architecture School of Arts, Design and Architecture design with and for people Codesign
Ohjelmistoarkkitehtuurit. Syksy 2008
Ohjelmistoarkkitehtuurit Syksy 2008 Kai Koskimies 1 Tervetuloa Kuopion yliopisto, Oulun yliopisto, Tampereen yliopisto, Teknillinen korkeakoulu, Turun yliopisto, Vaasan yliopisto, Tampereen teknillinen
Osittavat arkkitehtuurityylit. Palveluihin perustuvat arkkitehtuurityylit. Erikoisarkkitehtuurityylit
6. Arkkitehtuurityylit Osittavat arkkitehtuurityylit Kerrosarkkitehtuurit Tietovuoarkkitehtuurit Palveluihin perustuvat arkkitehtuurityylit Asiakas-palvelin arkkitehtuurit Viestinvälitysarkkitehtuurit
Radioamatöörikurssi 2017
Radioamatöörikurssi 2017 Elektroniikan kytkentöjä 7.11.2017 Tatu Peltola, OH2EAT 1 / 20 Suodattimet Suodattaa signaalia: päästää läpi halutut taajuudet, vaimentaa ei-haluttuja taajuuksia Alipäästösuodin
VAIKUTTAVA INSIGHT JA INSIGHT-ORGANISAATIO. Poiminnat Esomarin konferenssista, Berliini Anu Bergius, kehityspäällikkö
VAIKUTTAVA INSIGHT JA INSIGHT-ORGANISAATIO Poiminnat Esomarin konferenssista, Berliini 24.-26.9.2018 Anu Bergius, kehityspäällikkö The project doesn t end when insights are delivered but when people are
3. Käsiteanalyysi ja käsitekaavio
3. Käsiteanalyysi ja käsitekaavio lehtori Pasi Ranne Metropolia ammattikorkeakoulu E-mail: pasi.ranne@metropolia.fi sivu 1 Käsiteanalyysi Selvitetään mitä tietokantaan pitää tallentaa Lähtökohtana käyttäjien
TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli
TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne
TASONSIIRTOJEN ja VAHVISTUKSEN SUUNNITTELU OPERAATIOVAHVISTINKYTKENNÖISSÄ
TSONSTOJEN ja VHVSTKSEN SNNTTEL OPETOVHVSTKYTKENNÖSSÄ H. Honkanen. SMMMEN KÄYTTÖ - Summaimelle voidaan erikseen määrittää, omaan tuloonsa: - Signaalin jännitevahvistus ja - Tasonsiirto - Mahdollisuus kytkeä
Suomalainen koulutusosaaminen vientituotteena
Suomalainen koulutusosaaminen vientituotteena Case Saudi Arabia EduCluster Finland Ltd. Anna Korpi, Manager, Client Relations AIPA-päivät Kouvolassa 11.6.2013 11.6.2013 EduCluster Finland Ltd Contents
HY / Matematiikan ja tilastotieteen laitos Vektorianalyysi I, syksy 2017 Harjoitus 1 Ratkaisuehdotukset. I.1. Todista Cauchyn-Schwarzin epäyhtälö
HY / Matematiikan ja tilastotieteen laitos Vektorianalyysi I, syksy 2017 Harjoitus 1 Ratkaisuehdotukset I.1. Todista Cauchyn-Schwarzin epäyhtälö kun x, y R. x y x y, Ratkaisu: Tiedetään, että x + ty 2
Tentin materiaali. Sivia: luvut 1,2, , ,5. MacKay: luku 30. Gelman, 1995: Inference and monitoring convergence
Tentin materiaali Sivia: luvut 1,2,3.1-3.3,4.1-4.2,5 MacKay: luku 30 Gelman, 1995: Inference and monitoring convergence Gelman & Meng, 1995: Model checking and model improvement Kalvot Harjoitustyöt Tentin
Machine Control Studio - Kuinka päästä alkuun. Ohjelmointiympäristö Unidrive M ja MCi2x0 laitteille
Machine Control Studio - Kuinka päästä alkuun Ohjelmointiympäristö Unidrive M ja MCi2x0 laitteille Mistä aloittaa? Machine Control Studion lataaminen ja asennus. MCS käynnistys ja uuden projektin luonti.
Signaalien datamuunnokset. Näytteenotto ja pito -piirit
Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja
SaSun VK1-tenttikysymyksiä 2019 Enso Ikonen, Älykkäät koneet ja järjestelmät (IMS),
SaSun VK1-tenttikysymyksiä 2019 Enso Ikonen, Älykkäät koneet ja järjestelmät (IMS), 5.2.2019 Tentin arvosteluperusteita: o Kurssin alku on osin kertausta SäAn ja prosessidynamiikkakursseista, jotka oletetaan
MAB Jussi Tyni. Lue ohjeet huolellisesti! Tee pisteytysruudukko konseptin yläkertaan. Muista kirjoittaa nimesi. Kysymyspaperin saa pitää.
MAB6. 014 Jussi Tyni Lue ohjeet huolellisesti! Tee pisteytysruudukko konseptin yläkertaan. Muista kirjoittaa nimesi. Kysymyspaperin saa pitää. A-OSIO: Ei saa käyttää laskinta. MAOL saa olla esillä. Maksimissaan
Matemaattinen Analyysi
Vaasan yliopisto, kevät 2015 / ORMS1010 Matemaattinen Analyysi 7. harjoitus, viikko 17 R1 ma 16 18 D115 (20.4.) R2 ke 12 14 B209 (22.4.) 1. Määritä funktiolle f (x) 1 + 0,1x Taylorin sarja kehityskeskuksena
MILDRED JA DATANHALLINNAN TUKI HELSINGIN YLIOPISTOSSA
MILDRED JA DATANHALLINNAN TUKI HELSINGIN YLIOPISTOSSA Työpaja: ATT-koulutus ja datanhallinnan osaaminen organisaatioissa - case-esimerkkejä ja vertaistukea 19.9.2017 Pauli Assinen CC BY University of Helsinki
Elementtirakenteiset Kylmä- ja Pakastehuoneet Prefabricated Cold and Freezer Rooms
Elementtirakenteiset Kylmä- ja Pakastehuoneet Prefabricated Cold and Freezer Rooms Varaosakuvat ja - luettelot Spare part drawings and lists 3/2002 C 730 C 730 Nr CODE DESCRIPTION KOMPONENTTI (+TYYPPI)
Ohjelmointiharjoituksia Arduino-ympäristössä
Ohjelmointiharjoituksia Arduino-ympäristössä Yleistä Arduino-sovelluksen rakenne Syntaksi ja käytännöt Esimerkki ohjelman rakenteesta Muuttujat ja tietotyypit Tietotyypit Esimerkkejä tietotyypeistä Ehtolauseet
TEKNILLINEN KORKEAKOULU Systeemianalyysin laboratorio. Mat Systeemien Identifiointi. 4. harjoitus
TEKNILLINEN KORKEAKOULU Systeemianalyysin laboratorio Mat-2.4129 Systeemien Identifiointi 4. harjoitus 1. a) Laske valkoisen kohinan spektraalitiheys. b) Tarkastellaan ARMA-prosessia C(q 1 )y = D(q 1 )e,
MAA9.2 2014 Jussi Tyni Lue ohjeet huolellisesti! Tee pisteytysruudukko konseptin yläkertaan. Muista kirjoittaa nimesi. Kysymyspaperin saa pitää.
MAA9. 014 Jussi Tyni Lue ohjeet huolellisesti! Tee pisteytysruudukko konseptin yläkertaan. Muista kirjoittaa nimesi. Kysymyspaperin saa pitää. A-OSIO: Ei saa käyttää laskinta. MAOL saa olla esillä. Maksimissaan
K3K M2K HHA K3K20 0
Havainnoija 2753 Ahola Markus Viime laskenta 08.6.2015 klo 4.25-9.47 Lähtöpiste 0500 m koillisnurkasta 500 500 450. 450 400. K3K25 400 350. 350 300. 300 250. 250 200. 200 150. M2K15 150 100. 100 50. HHA2
HMM ja geenien etsintä
Kuten makovin mallien yhteydessä, niin HMM halutulla topologialla voidaan opettaa tunnistamaan geenejä. Ohessa eäs geenitunnistukseen käytetty topologia, joka tunnistaa ihmisen geenit (5 -> 3 ). Edellä