AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

Koko: px
Aloita esitys sivulta:

Download "AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja"

Transkriptio

1 AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta, kun käyttäjä painaa KEY0-näppäintä. entity H2T1 is port(x,y : in std_logic_vector(3 downto 0); flush : in std_logic; -- KEY0 z: out std_logic_vector(4 downto 0)); end H2T1; -- Yhteenlasku architecture arch of H2T1 is signal temp1, temp2 : std_logic_vector(4 downto 0); process(flush, x, y) temp1 <= '0' & x; temp2 <= '0' & y; if falling_edge(flush) then -- Nappi invertoitu -> falling_edge z <= temp1 + temp2; 2. Tilakone Toteuta DE2:lla seuraava tilakone: Painettaessa KEY0 tilakone palaa alkutilaansa. KEY1 seuraava tila. Alkutilassa yksikään ledi ei pala. Kun SW0 = 1 ( 0 ), seuraavassa tilassa palaa yksi punainen ledi enemmän (vähemmän). Ledejä sytytetään järjestyksessä LEDR0, LEDR1,, kunnes kaikki punaiset ledit palavat. Vastaavasti ledejä sammutetaan käänteisessä järjestyksessä. Kokeile tilamuuttujana/signaalina sekä Natural että std_logic_vector -tyyppejä. Vertaa resurssien käyttöä sekä piiritoteutusta. Toteutetaan tilakone kahdella prosessilla, jotka kommunikoivat signaalin avulla. Ensimmäinen prosessi huolehtii tilasiirtymistä, toinen ulostuloista. Tila talletetaan joko Natural- tai std_logic_vector-muuttujaan. std_logic_vector-muuttujaan voidaan jälleen hyödyntää IEEE.std_logic_unsigned-kokoelman yhteenlaskua. entity H2T2 is port(direction: in std_logic; -- SW0 reset, nextstate: in std_logic; -- KEY0, KEY1 ledit: out std_logic_vector(17 downto 0)); jako@uwasa.fi 1

2 end H2T2; architecture arch of H2T2 is signal state : std_logic_vector(4 downto 0); --signal state : Natural; process(reset, nextstate, direction) state <= (others => '0');--0; elsif falling_edge(nextstate) then if state<18 and direction = '1' then state <= state+1; elsif state>0 and direction = '0' then state <= state-1; state <= state; state <= state; -- Ulostulojen ohjaus process(state) ledit <= (others => '0'); for i in 0 to 17 loop if i<=state-1 then ledit(i) <= '1'; end loop; Ulostulojen ohjaus on tehty mahdollisimman geneerisesti. Tarkoituksena on siis sytyttää kaikki ledit nollasta i 1:een. Huomaa, että for-silmukan rajat pitää olla vakioita. Ei voida kirjoittaa: for i in 0 to i-1 loop ledit(i) <= '1'; end loop; koska piiritoteutus ei voi muuttua syötteen perusteella. Tämä rajoite voidaan kuitenkin kiertää iflauseella, jonka piiritoteutus voidaan tehdä jokaiselle indeksille (ks. RTL Viewer). Havaitaan, että Natural-tyypin käyttäminen johtaa resurssien tuhlaukseen. 3. Kello/Taajuudenjakaja Käytä DE2:n 50 MHz kelloa. Tee taajuudenjakaja, jolla vilkutat lediä esim. 1 s välein. Toteuta esim. parilla switchillä ohjaus, joilla voit säätää kellotaajuutta. Kokeile taajuudenjakajassa sekä Natural että std_logic_vector -tyyppejä. Tehdään ensin yksi 10-bittinen taajuudenjakaja. jako@uwasa.fi 2

3 -- Taajuudenjakaja entity H2T3 is reset, SW0, SW1: in std_logic; -- reset = KEY0 led: out std_logic); end H2T3; architecture arch of H2T3 is signal clock_24414, clock_12, clock_out, clock_1 : std_logic; bittinen taajuudenjakaja 50 MHz/(2*1024) -> Hz process(reset, clock_50) variable count : std_logic_vector(9 downto 0); clock_24414 <= '0'; elsif rising_edge(clock_50) then if count < 1023 then clock_24414 <= not clock_24414; led <= clock_50; Havaitaan, että piiri muodostuu vakiolla lisäämisestä, muistipiiristä, vakioon vertaamisesta, multiplekseristä, jolla muistiin kirjoitetaan joko yhteenlaskupiirin tulos tai vakio (0), sekä ulostuloa ohjaavasta kiikusta. Toteutus ei muutu, vaikka käytettäisiin Natural-tyyppiä. Tehdään lopullinen taajuudenjakaja kolmella sarjankytketyllä piirillä, joista viimeisessä laskurin maksimiarvoa voidaan muuttaa. Huomaa, että tässä toteutuksessa temp-muuttuja, voi muuttua kesken kellojaksonkin. jako@uwasa.fi 3

4 -- Taajuudenjakaja entity H2T3 is reset, SW0, SW1: in std_logic; -- reset = KEY0 led: out std_logic); end H2T3; architecture arch of H2T3 is signal clock_24414, clock_12, clock_out, clock_1 : std_logic; bittinen taajuudenjakaja 50 MHz/(2*1024) -> Hz process(reset, clock_50) variable count : std_logic_vector(9 downto 0); clock_24414 <= '0'; elsif rising_edge(clock_50) then if count < 1023 then clock_24414 <= not clock_24414; bittinen taajuudenjakaja Hz/(2*1024) -> 11,9 Hz process(reset, clock_24414) variable count : std_logic_vector(9 downto 0); clock_12 <= '0'; elsif rising_edge(clock_24414) then if count < 1023 then clock_12 <= not clock_12; -- 4-bittinen säädettävä taajuudenjakaja process(reset, clock_12, SW0, SW1) variable count : std_logic_vector(3 downto 0); jako@uwasa.fi 4

5 variable temp : std_logic_vector(1 downto 0); temp := SW1 & SW0; clock_out <= '0'; elsif rising_edge(clock_12) then case temp is -- f = 11,9 Hz/(2*10) when "00" => if count < 10 then count := count + 1; -- f = 11,9 Hz/(2*8) when "01" => if count < 8 then count := count + 1; -- f = 11,9 Hz/(2*6) when "10" => if count < 6 then count := count + 1; -- f = 11,9 Hz/(2*4) when "11" => if count < 4 then when others => clock_out <= clock_out; end case; led <= clock_out; 4. Peli Muunna tehtävän 2 tilakone peliksi tehtävän 3 avulla. Pelissä on tarkoituksena pitää ledipylvästä mahdollisimman keskellä eli että 9 10 lediä palaisi. Voit keksiä peliin myös esim. pistelaskurin. jako@uwasa.fi 5

6 Toteutuksessa voidaan käyttää suoraan edellisten tehtävien piirejä. Käytetään rakenteellista piirin esitystapaa: -- Ledipeli: tarvitsee tilakone.vhd ja taajuudenjakaja.vhd entity ledipeli is reset, SW0, SW1, SW17: in std_logic; -- reset = KEY0 -- SW0 ja SW1 ohjaavat taajuutta. SW17 ohjaa tilakoneen suuntaa. ledit: out std_logic_vector(17 downto 0)); end ledipeli; architecture arch of ledipeli is component taajuudenjakaja is reset, SW0, SW1: in std_logic; -- reset = KEY0 led: out std_logic); end component; component tilakone is port(direction: in std_logic; -- SW0 reset, nextstate: in std_logic; -- KEY0, KEY1 ledit: out std_logic_vector(17 downto 0)); end component; -- Sisäinen kello: taajuudenjakajasta tilakoneelle signal clock_1 : std_logic; u1: taajuudenjakaja port map(clock_50, reset, SW0, SW1, clock_1); u2: tilakone port map(sw17, reset, clock_1, ledit); Peli-iloa! jako@uwasa.fi 6

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro:

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro: KILPAILIJAN TEHTÄVÄT Kilpailijan nimi / Nro: Tehtävän laatinut: Hannu Laurikainen, Deltabit Oy Kilpailutehtävä Kilpailijalle annetaan tehtävässä tarvittavat ohjelmakoodit. Tämä ohjelma on tehty laitteen

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja.

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja. Liikennevalot Laite koostuu Arduinokortista ja koekytkentälevystä. Liikennevalon toiminnat ohjelmoidaan Arduinolle. Ledit ja muut komponentit asetetaan koekytkentälevylle. Arduino toimii laitteen aivoina.

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Harjoitustyö - Mikroprosessorit Liikennevalot

Harjoitustyö - Mikroprosessorit Liikennevalot Saku Chydenius tammikuu 2004 Asko Ikävalko Harjoitustyö - Mikroprosessorit Liikennevalot Työn valvoja: Kimmo Saurén RAPORTTI 1(8) 1. Alkuperäinen tehtävänanto 2. Määritelmä valojen vaihtumiselle Muodosta

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Autotallin ovi - Tehtävänanto

Autotallin ovi - Tehtävänanto Autotallin ovi - Tehtävänanto Pisteytys (max 9p): 1 piste per läpi mennyt testi (max 7p) Reflektointitehtävä (max 2p yksi piste jos osaa vastata edes osittain ja toinen piste tyhjentävästä vastauksesta)

Lisätiedot

V H D L. Very high speed integrated circuits Hardware Description Language

V H D L. Very high speed integrated circuits Hardware Description Language V H D L H. Honkanen Very high speed integrated circuits Hardware Description Language Allaolevassa kuvassa on esitetty FGPA tai ASIC piirin suunnittelun vuokaavio. Kuva: FPGA piirin suunnittelu Tästä kokonaisuudesta

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1 TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1 Tehtävä 1: Sekventiaalisen right-shift-kertolaskijan tutkiminen Tämä tehtävä esittelee kahden kertolaskijan eroja FPGA-piirillä. Pyri vastaamaan kysymyksiin

Lisätiedot

Versio: FIN Langaton painonappi. Käyttäjän käsikirja

Versio: FIN Langaton painonappi. Käyttäjän käsikirja Versio: FIN180524 Langaton painonappi Käyttäjän käsikirja SISÄLLYS 1 Käyttöönotto...3 1.1 Käynnistys/Sammutus...3 1.2 Ajanottokanava...3 1.2.1 Kanavan asettaminen C0:ksi (lähtö)... 3 1.2.2 Kanavan asettaminen

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi

Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi Oman painonappi komponentin luonti 6 versiossa Flowcode 6 versio mahdollistaa omien Flowcode komponenttien tekemisen. Komponentit on mahdollista piirtää

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Kirjoita oma versio funktioista strcpy ja strcat, jotka saavat parametrinaan kaksi merkkiosoitinta.

Kirjoita oma versio funktioista strcpy ja strcat, jotka saavat parametrinaan kaksi merkkiosoitinta. Tehtävä 63. Kirjoita oma versio funktiosta strcmp(),joka saa parametrinaan kaksi merkkiosoitinta. Tee ohjelma, jossa luetaan kaksi merkkijonoa, joita sitten verrataan ko. funktiolla. Tehtävä 64. Kirjoita

Lisätiedot

GREDDY PROFEC B SPEC II säätäminen

GREDDY PROFEC B SPEC II säätäminen GREDDY PROFEC B SPEC II säätäminen Päätin tehdä tällaisen ohjeen, koska jotkut ovat sitä kyselleet suomeksi. Tämä on vapaa käännös eräästä ohjeesta, joka on suunnattu Evoille (joka on koettu toimivaksi

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PSX DIN kisko kiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 3 numeron LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi.

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Lämpötilahälytin Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Laite koostuu Arduinokortista ja koekytkentälevystä. Hälyttimen toiminnat ohjelmoidaan Arduinolle.

Lisätiedot

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin.

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin. 2. Ohjausrakenteet Ohjausrakenteiden avulla ohjataan ohjelman suoritusta. peräkkäisyys valinta toisto Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Ohjelmoi Arduino Grovella

Ohjelmoi Arduino Grovella Ohjelmoi Arduino Grovella Tomi Hukkalainen ja Kati Sormunen 25.9.2016 2016 Innokas 1 Tarvikkeet 25.9.2016 2016 Innokas 2 Tarvikkeet Näissä harjoituksissa käytetään Seeduino Lotus piirilevyä, jossa käytetään

Lisätiedot

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Ohjelmointitehtävänä on laatia ohjelma jääkaapin ovihälyttimelle. Hälytin toimii 3 V litium paristolla ja se sijoitetaan jääkaapin sisälle. Hälyttimen

Lisätiedot

Fortum Fiksu Mittaava, etäohjattava sähkökytkin sisäkäyttöön Käyttöohjeet

Fortum Fiksu Mittaava, etäohjattava sähkökytkin sisäkäyttöön Käyttöohjeet Fortum Fiksu Mittaava, etäohjattava sähkökytkin sisäkäyttöön Käyttöohjeet Sisällys 1 Fortum Fiksu -järjestelmään liitettävä mittaava pistorasiakytkin sisäkäyttöön 2 Asentaminen 2.1 Kytkimen liittäminen

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Kerta 2. Kerta 2 Kerta 3 Kerta 4 Kerta 5. 1. Toteuta Pythonilla seuraava ohjelma:

Kerta 2. Kerta 2 Kerta 3 Kerta 4 Kerta 5. 1. Toteuta Pythonilla seuraava ohjelma: Kerta 2 Kerta 3 Kerta 4 Kerta 5 Kerta 2 1. Toteuta Pythonilla seuraava ohjelma: 2. Tulosta Pythonilla seuraavat luvut allekkain a. 0 10 (eli, näyttää tältä: 0 1 2 3 4 5 6 7 8 9 10 b. 0 100 c. 50 100 3.

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PDX DIN kiskokiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 4 numeroinen LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

FYSP105 / K3 RC-SUODATTIMET

FYSP105 / K3 RC-SUODATTIMET FYSP105 / K3 R-SODATTIMET Työn tavoitteita tutustua R-suodattimien toimintaan oppia mitoittamaan tutkittava kytkentä laiterajoitusten mukaisesti kerrata oskilloskoopin käyttöä vaihtosähkömittauksissa Työssä

Lisätiedot

Ajastin tarjoaa erilaisia toimintoja, kuten "Clock Display", "sekuntikello", "lähtölaskenta", "CountUp", "jaksoajastimen ja "Fight Gone Bad" -ajastin.

Ajastin tarjoaa erilaisia toimintoja, kuten Clock Display, sekuntikello, lähtölaskenta, CountUp, jaksoajastimen ja Fight Gone Bad -ajastin. 6-DIGIT CROSSFIT TIMER Ajastin tarjoaa erilaisia toimintoja, kuten "Clock Display", "sekuntikello", "lähtölaskenta", "CountUp", "jaksoajastimen ja "Fight Gone Bad" -ajastin. Lisäksi erityiset CountUp-

Lisätiedot

Pikaohje Aplisens APIS type 1X0 ja 2XO

Pikaohje Aplisens APIS type 1X0 ja 2XO Pikaohje Aplisens APIS type 1X0 ja 2XO Koivupuistontie 26, 01510, Vantaa www.saato.fi, sales@saato.fi, 09-759 7850 Sisällys 1. Yleistä...3 2. Parametritilan toiminnot...4 3. Käyttöönotto pikaohje...5 1.

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

Arduino. Kimmo Silvonen (X)

Arduino. Kimmo Silvonen (X) Arduino Kimmo Silvonen (X) Arduino, toimiva oma versio (osat Pajalla) ATmega 328P IC DIL-kotelossa (DIP) päältä katsottuna, Arduinon pinnit +5 V TX RX DTR FT232 10k 22p 22p Reset 1 RX D0 TX D1 D2 PWM D3

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Toiminnallinen määrittely versio 1.2

Toiminnallinen määrittely versio 1.2 Toiminnallinen määrittely versio 1.2 Ryhmä 2 Sami Luomansuu, 168128, sami.luomansuu@tut.fi Panu Sjövall, 205401, panu.sjovall@tut.fi VERSIOHISTORIA Versio Päiväys Tekijät Tehdyt muutokset 1.0 02.10.12

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

A ja B pelaavat sarjan pelejä. Sarjan voittaja on se, joka ensin voittaa n peliä.

A ja B pelaavat sarjan pelejä. Sarjan voittaja on se, joka ensin voittaa n peliä. Esimerkki otteluvoiton todennäköisyys A ja B pelaavat sarjan pelejä. Sarjan voittaja on se, joka ensin voittaa n peliä. Yksittäisessä pelissä A voittaa todennäköisyydellä p ja B todennäköisyydellä q =

Lisätiedot

PROXIMITY /etälukijat CZ-EMM3 CZ-EMM4

PROXIMITY /etälukijat CZ-EMM3 CZ-EMM4 Kocom Finland Oy WWW.kocom.fi PROXIMITY /etälukijat CZ-EMM3 CZ-EMM4 cz-emm34_en 08/08 Etätunnisteiden lukijat CZ-EMM3 ja CZ-EMM4 joita kutsutaan myös lukupäiksi - ovat suuniteltu erilaisten passiivisten

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

P I C A X E O H J E L M O I N T I

P I C A X E O H J E L M O I N T I PICAXE OHJELMOINTI Tämä materiaalipaketti on tehty PICAXE piireihin perustuvaa elektroniikan opetusta varten. Tarkoituksena on opettaa ohjelmoitavan mikropiirin käyttöä erilaisissa sovellutuksissa. Lisää

Lisätiedot

Käyttö- ja asennusohje

Käyttö- ja asennusohje V1.4 Käyttö- ja asennusohje Etäluentalaite ionsign Oy PL 246, Paananvahe 4, 26100 Rauma ionsign.fi, ionsign@ionsign.fi, p. 02 822 0097 Y-tunnus 2117449-9, VAT FI21174499 NEUTRON12-3G ETÄLUENTALAITE 1 Yleistä

Lisätiedot

WehoFloor RF LCD 868MHz & laajennusmoduuli 4 tai 6 kanavalle

WehoFloor RF LCD 868MHz & laajennusmoduuli 4 tai 6 kanavalle WehoFloor RF LCD 868MHz & laajennusmoduuli 4 tai 6 kanavalle KÄYTTÖOHJE WehoFloor RF LCD langaton kytkentäyksikkö (6 kanavalle) & laajennusmoduuli (4 tai 6 kanavalle) 868 MHz 2-6 1. KÄYTTÖOHJE WFHC langaton

Lisätiedot

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5)

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5) Alkuarvot ja tyyppimuunnokset (1/5) Aiemmin olemme jo antaneet muuttujille alkuarvoja, esimerkiksi: int luku = 123; Alkuarvon on oltava muuttujan tietotyypin mukainen, esimerkiksi int-muuttujilla kokonaisluku,

Lisätiedot

UML -mallinnus TILAKAAVIO

UML -mallinnus TILAKAAVIO UML -mallinnus TILAKAAVIO SISÄLLYS 3. Tilakaavio 3.1 Tilakaavion alku- ja lopputilat 3.2 Tilan nimi, muuttujat ja toiminnot 3.3 Tilasiirtymä 3.4 Tilasiirtymän vai tilan toiminnot 3.5 Tilasiirtymän tapahtumat

Lisätiedot

1 Aritmeettiset ja geometriset jonot

1 Aritmeettiset ja geometriset jonot 1 Aritmeettiset ja geometriset jonot Johdatus Johdatteleva esimerkki 1 Kasvutulille talletetaan vuoden jokaisen kuukauden alussa tammikuusta alkaen 100 euroa. Tilin nettokorkokanta on 6%. Korko lisätään

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

OHJE D C.docx

OHJE D C.docx 1(5) KPAD Käyttöjännite 12-24 VAC tai DC liitetään liittimiin ALIM+ ja ALIM-. Mallista riippuen laitteessa on 2 tai neljä relelähtöä, liittimissä lukee C1,, eli Common ykkönen, normaalisti auki ja avautuva.

Lisätiedot

LEGO EV3 Datalogging mittauksia

LEGO EV3 Datalogging mittauksia LEGO EV3 Datalogging mittauksia Tehtäväkortit 19.2017 Energiamittari/ Tehtäväkortti / 2017Innokas 1 Ledin palamisajan määrittäminen Generaattorin kytkeminen Kytke generaattori energiamittarin sisääntuloon

Lisätiedot

KAUKO-OHJATTAVA OHJAUSKESKUS

KAUKO-OHJATTAVA OHJAUSKESKUS KAUKO-OHJATTAVA OHJAUSKESKUS 1101--119 PINTA-ASENNUS 1 SYLA 6 SYLA PU RAKENNE 1 1) Ryhmävarokkeet kiukaan kahdelle tehoryhmälle (molemmilla on oma kontaktori). ) Merkkivalo, keltainen. Palaa kiukaan ollessa

Lisätiedot

Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata.

Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata. 1 Tulostaulun käyttöohje 1. Yleistä Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata. Näytön alapuolella olevilla A, B, C jne. painikkeilla voi valita

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A.

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A. Tehtävä. Tämä tehtävä on aineistotehtävä, jossa esitetään ensin tehtävän teoria. Sen jälkeen esitetään neljä kysymystä, joissa tätä teoriaa pitää soveltaa. Mitään aikaisempaa tehtävän aihepiirin tuntemusta

Lisätiedot

TAMPEREEN TEKNILLINEN YLIOPISTO

TAMPEREEN TEKNILLINEN YLIOPISTO TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja Tietokonetekniikan laitos TKT-3200 Tietokonetekniikka ASSEMBLER: QSORT 11.08.2010 Ryhmä 00 nimi1 email1 opnro1 nimi2 email2 opnro2 nimi3 email3 opnro3 1. TEHTÄVÄ

Lisätiedot

seppo.vehviläinen@electrix.fi

seppo.vehviläinen@electrix.fi moduuli Seppo Vehviläinen MX Electrix Oy seppo.vehviläinen@electrix.fi 1 eql sähkön laadun hallinta MITTAUKSESTA RAPORTOINTIIN Jännitetasot Välkyntä Jännitekatkot Jännitekuopat Kokonaissäröt Harmoniset

Lisätiedot

Digitaalinen eropainekytkin DPS Käyttöohje

Digitaalinen eropainekytkin DPS Käyttöohje MECAIR Digitaalinen eropainekytkin DPS Käyttöohje HUOMIO: Ennen tuotteen käyttöä sinun on luettava on tämä käyttöopas huolellisesti, jotta saat riittävää tietoa tuotteesta Tekniset tiedot Virransyöttö

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

PROBYTE CONTROL GSM. GSM/SMS-hälytys- ja ohjauslaite. GSM Control 7/11/01 sivu 1/5

PROBYTE CONTROL GSM. GSM/SMS-hälytys- ja ohjauslaite. GSM Control 7/11/01 sivu 1/5 PROBYTE CONTROL GSM GSM/SMS-hälytys- ja ohjauslaite GSM Control 7/11/01 sivu 1/5 Yleistä l - PROBYTE CONTROL GSM on hälytys- ja kauko-ohjauslaite, joka käyttää GSM/SMStekniikkaa viestien välitykseen GSM

Lisätiedot

HARJOITUSTYÖ: LabVIEW, Valokennoportti

HARJOITUSTYÖ: LabVIEW, Valokennoportti HARJOITUSTYÖ: LabVIEW, Valokennoportti Tarvittavat laitteet: PC Labview NI USB-6008 tiedonkeruukortti (kuva 1) Vernier Photogate (HSVPG, kuva 2) Smart Pulley Attachment (HSSPA, kuva 2) RJ-11 kaapeli (toinen

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Signaalien datamuunnokset. Näytteenotto ja pito -piirit

Signaalien datamuunnokset. Näytteenotto ja pito -piirit Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja

Lisätiedot

UNICARD ACR-201 UNICARD 1. JOHDANTO

UNICARD ACR-201 UNICARD 1. JOHDANTO 1. JOHDANTO UNICARD ACR-201 Unicard:issa on kaksi vaihtokoskettimella varustettua relettä. Yksikössä on liitokset kahdelle painonapille, magneettikosketin ja aikaohjaus korteille, ryhmäkoodille ja rekisteröinnille.

Lisätiedot

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Tekniikka 2018 VAASAN AMMATTIKORKEAKOULU Tietotekniikka TIIVISTELMÄ Tekijä Turo Id Opinnäytetyön nimi Mikroprosessorijärjestelmän suunnittelu FPGA:lla

Lisätiedot

Java-kielen perusteita

Java-kielen perusteita Java-kielen perusteita Toistorakenne (while, do-while, for) 1 While- lause while-lauseen rakenne on seuraava: while (ehtolauseke) lause Kun ehtolausekkeen arvo on totta, lause suoritetaan. Lause suoritetaan

Lisätiedot

Kirjasto Relaatiotietokannat Kevät 2001. Auvinen Annemari Niemi Anu Passoja Jonna Pulli Jari Tersa Tiina

Kirjasto Relaatiotietokannat Kevät 2001. Auvinen Annemari Niemi Anu Passoja Jonna Pulli Jari Tersa Tiina Kirjasto Kevät 2001 Auvinen Annemari Niemi Anu Harjoitustyö 7.4.2001 Sisällysluettelo 1. Yleiskuvaus... 3 2. Vaatimukset... 3 2.1. Toiminnalliset... 3 2.1.1. Sisäänkirjautuminen... 3 2.1.2. Nimikkeiden

Lisätiedot

Harjoitus 6: Simulink - Säätöteoria. Syksy 2006. Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1

Harjoitus 6: Simulink - Säätöteoria. Syksy 2006. Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoitus 6: Simulink - Säätöteoria Mat-2.2107 Sovelletun matematiikan tietokonetyöt Syksy 2006 Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoituksen aiheita Tutustuminen säätötekniikkaan Takaisinkytkennän

Lisätiedot

Paikantavan turvapuhelimen käyttöohje

Paikantavan turvapuhelimen käyttöohje Paikantavan turvapuhelimen käyttöohje Stella Turvapuhelin ja Hoiva Oy Tämä ohje kertoo miten paikantavaa turvapuhelinta käytetään Stella Turvapuhelin ja Hoiva Oy Mannerheimintie 164 00300 Helsinki Sisällysluettelo

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100 Tiedonsiirtokäskyt LOAD LOAD-käsky toimii jälkimmäisestä operandista ensimmäiseen. Ensimmäisen operandin pitää olla rekisteri, toinen voi olla rekisteri, vakio tai muistiosoite (myös muuttujat ovat muistiosoitteita).

Lisätiedot

Arduino. Kimmo Silvonen (X)

Arduino. Kimmo Silvonen (X) Arduino Kimmo Silvonen (X) Arduinon ohjelmointiympäristön installointi Teensyn (2.0) installointi Arduino IDE:n alle IDE = Integrated Development Environment Arduino UNO, ym.: https://www.arduino.cc/en/guide/windows

Lisätiedot

ELEC-A4010 Sähköpaja Arduinon ohjelmointi. Jukka Helle

ELEC-A4010 Sähköpaja Arduinon ohjelmointi. Jukka Helle ELEC-A4010 Sähköpaja Arduinon ohjelmointi Jukka Helle Arduino UNO R3 6-20VDC 5VDC muunnin 16 MHz kideoskillaattori USB-sarjamuunnin (ATmega16U2) ATmega328 -mikro-ohjain 14 digitaalista I/O väylää 6 kpl

Lisätiedot

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta.

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta. TYÖ 11. Operaatiovahvistin Operaatiovahvistin on mikropiiri ( koostuu useista transistoreista, vastuksista ja kondensaattoreista juotettuna pienelle piipalaselle ), jota voidaan käyttää useisiin eri kytkentöihin.

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Kirjoita ohjelma jossa luetaan kokonaislukuja taulukkoon (saat itse päättää taulun koon, kunhan koko on vähintään 10)

Kirjoita ohjelma jossa luetaan kokonaislukuja taulukkoon (saat itse päättää taulun koon, kunhan koko on vähintään 10) Tehtävä 40. Kirjoita ohjelma, jossa luetaan 20 lukua, joiden arvot ovat välillä 10 100. Kun taulukko on täytetty, ohjelma tulostaa vain ne taulukon arvot, jotka esiintyvät taulukossa vain kerran. Tehtävä

Lisätiedot

Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas

Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas Ainutlaatuinen, himmennystoiminnolla varustettu langaton yleisvastaanotin esimerkiksi himmennettävien 230 voltin LEDvalojen,

Lisätiedot

PROBYTE CONTROL GSM GSM/SMS-hälytys- ja ohjauslaite

PROBYTE CONTROL GSM GSM/SMS-hälytys- ja ohjauslaite PROBYTE CONTROL GSM GSM/SMS-hälytys- ja ohjauslaite GSM Control 3/5/03 sivu 1/6 Yleistä l - PROBYTE CONTROL GSM on hälytys- ja kauko-ohjauslaite, joka käyttää GSM/SMStekniikkaa viestien välitykseen GSM

Lisätiedot

Esimerkki 1: Kahviautomaatti.

Esimerkki 1: Kahviautomaatti. Esimerkki 1: Kahviautomaatti. ÄÄRELLISET AUTOAATIT JA SÄÄNNÖLLISET KIELET 2.1 Tilakaaviot ja tilataulut Tarkastellaan aluksi tietojenkäsittelyjärjestelmiä, joilla on vain äärellisen monta mahdollista tilaa.

Lisätiedot

YLEISTÄ ALOITUS. Laitteen kuvaus. Näytön kuvaus. Laitteen käynnistäminen ja sammuttaminen UP = YLÖS DOWN = ALAS BACK = TAKAISIN

YLEISTÄ ALOITUS. Laitteen kuvaus. Näytön kuvaus. Laitteen käynnistäminen ja sammuttaminen UP = YLÖS DOWN = ALAS BACK = TAKAISIN WT6 Pikaopas YLEISTÄ Laitteen kuvaus UP = YLÖS DOWN = ALAS BACK = TAKAISIN Näytön kuvaus 1. Väylän numero 2. Vasen/oikea greeninäkymä 3. Väylän Par-luku 4. Matka greenin taakse 5. Matka greenin eteen 6.

Lisätiedot

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 12. tammikuuta 2012

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 12. tammikuuta 2012 TIEA241 Automaatit ja kieliopit, kevät 2012 Antti-Juhani Kaijanaho TIETOTEKNIIKAN LAITOS 12. tammikuuta 2012 Sisällys Sisällys Äärellisiä automaatteja PUSH ON PUSH OFF Q T Q J C C H S C,Q C,Q 0 50s 1e

Lisätiedot

Puhallinmoottorinohjaimen käsipäätteen käyttöohje, TBLZ-2-75, SILVER C

Puhallinmoottorinohjaimen käsipäätteen käyttöohje, TBLZ-2-75, SILVER C Puhallinmoottorinohjaimen käsipäätteen käyttöohje, TBLZ-2-75, SILVER C 1. Yleistä Käsipäätettä käytetään SILVER C:n moottoriparametrien asettamiseen. 2. Asennus Käsipääte voidaan ripustaa mukana toimitettuun

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot