BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

Koko: px
Aloita esitys sivulta:

Download "BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit"

Transkriptio

1

2 BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

3 Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille, jonka toiminnallisuus voidaan ohjelmoida käyttäjän toimesta piirin valmistuksen jälkeen Voidaan jakaa toiminnallisuuden perusteella: Kombinatooriset piirit Toteuttaa kombinatoorisen logiikan kytkentöjä Sekventiaaliset piirit Toteuttaa kombinatoorisen ja sekventiaalisen logiikan piirejä

4 Kombinatooriset ohjelmoitavat piirit (1/2) Toiminta perustuu ohjelmoitaviin AND/OR elementteihin, toteuttavat SOP-muotoisia lausekkeita Hyödyntävät ROM-muistin ohjelmointitekniikoita, tavallisimpana sulakkeet (fuse-link) PLD (Programmable Logic Device) PROM (Programmable Read Only Memory) PAL (Programmable Array Logic) PLA (Programmable Logic Array) Kuva. Ohjelmoitavat kombinatooriset logiikkapiirit

5 Kombinatooriset ohjelmoitavat piirit (2/2) Piirien toimintaa voidaan havainnollistaa lohkokaavioilla (alla): PROM Inputs PAL Inputs PLA Inputs Fixed AND array (Decoder) Programmable AND array Programmable AND array Programmable OR array Fixed OR array Programmable OR Array Outputs Outputs Kuva. PLD-piirien loogisten funktioiden toteutusperiaate Outputs

6 PLA (Programmable Array Logic) (1/2) Ohjelmoitavat kytkentämatriisit sekä AND että OR porteille Ohjelmoitava lähtömuuttujien komplementointi XOR-porttien avulla Piirityyppi ei saavuttanut suurta kaupallista menestystä

7 PLA (2/2) Esimerkki PLA-piirin ohjelmointiperiaattesta: Toteutettavat funktiot F F 1 2 AB' AC A' BC' AC BC' A B C AB' AC BC Taulukko. PLA-piirin ohjelmointitaulukko CC' B B' A A' A'BC' OR Tulo- AND Suora Kompl. termi A B C F1 F2 AB' AC BC A'BC' Kuva. AND- ja OR- ja XOR-porttien tulojen ohjelmointi 1 F1 F2

8 PAL (Programmable Array Logic) (1/6) Pystytään toteuttamaan SOPmuotoisia (Sum-of-Products) lausekkeita: AND-porttien tulot ohjelmoitavissa kytkentämatriisin avulla Ei sisällä kiikkuja Käytetty laajalti kombinatoorisen diskreetin logiikan korvaajana Kuva. PAL16L8-piirin sisäinen rakenne (logiikkakaavio)

9 PAL (2/6) PAL16L8-piirin ominaisuudet: 16 I/O-signaalia, joista 8 konfiguroitavissa lähdöksi 8 logiikkalohkoa 7 AND-porttia jokaisessa logiikkalohkossa Jokaisella AND-portilla 32 tuloa Kertaohjelmoitava (sulakkeet) Ensimmäiset toteutettiin TTL-tekniikoilla Useita ohjelmointikieliä, esm. PALASM Kuva. PAL16L8 pinnijärjestys Kuva. PAL16R6CN

10 PAL (3/6) PAL16L8 toiminnallinen lohkokaavio kuva (alla) Kuva. PAL16L8 lohkokaavio (AMD)

11 PAL (4/6) Rekisterillinen PAL 8 logiikkalohkoa D-kiikku logiikkalohkossa Yhteinen kellosignaali 8 tuloa, 8 lähtöä Yhteinen OE-signaali Mahdollistaa liitynnän väylään Kuva. PAL16R8-piirin logiikkakaavio (AMD)

12 PAL (5/6) PAL16R8 toiminnallinen lohkokaavio kuva (alla) Kuva. PAL16R8-piirin logiikkakaavio (AMD)

13 PAL (6/6) PALASM-esimerkki (wikipedia) Rekisterillinen PAL-piiri Toteutettu 4-bittinen synkroninen laskuri Resetointitulo Lähdöt Q,Q1,Q2 ja Q3

14 Ohjelmoitavat logiikkapiirit vs. diskreetti logiikka Ohjelmoitavat kombinatooriset logiikkapiirit on tarkoitettu ennen kaikkea korvaamaan diskreettiä logiikka, joitain etuja: Logiikan pienempi fyysinen koko Säästöt piirilevysuunnittelussa Säästöt komponenttien hinnassa Ohjelmoitavuudesta syntyvä joustavuus Saman laitteiston uudelleenkäytettävyys Usein myös tehonkulutus Parempi suorituskyky

15 Sekventiaaliset ohjelmoitavat logiikkapiirit PLA- ja PAL-piirejä ei ole suunniteltu sekventiaalisen logiikan toteuttamiseen, usein sitä rajoittaa sisäisten rekisterien (kiikkujen) puuttuminen Sekvenssilogiikkaa varten suunniteltuja piirityyppejä ovat: SPLD (Sequential [Simple] Programmable Logic Device) CPLD (Complex Programmable Logic Device) FPGA (Field Programmable Gate Array) Ovat pääasiallisesti syrjäyttäneet sekä PLA- että PAL-piirit nykyisissä sulautetuissa järjestelmissä

16 SPLD (Sequential Programmable Logic Device) (1/4) Voidaan ajatella olevan PAL-johon on lisätty aseteltava rekisteri jokaiseen logiikkalohkoon Piirin rekistereillä yhteinen kellosignaali Clock Inputs PAL (Programmable AND fixed OR array) Configurable Registers Outputs Kuva. SPLD-piirin periaattellinen rakenne

17 SPLD (2/4) Muistuttaa rakenteeltaan rekisterillistä PAL-piiriä Rekisterit korvattu makrosoluilla Usein uudelleenohjelmoitavissa, (EEPROM, FLASH) Kuva. PEEL18CV8-piirin logiikkakaavio (ICT)

18 SPLD (3/4) Makrosolujen toiminnallisuus voidaan ohjelmoida, esim: Kiikun ohitus Kellon aktiivisen reunan valinta Lähdön komplementoinnin valinta Kiikun alkutilan asetus Suora/rekisterillinen takaisinkytkentä Kuva. PEEL18CV8-piirin makrosolun rakenne (ICT)

19 SPLD (4/4) Kuva. Erilaisia makrosolun konfigurointimahdollisuukisia (ICT PEEL18CV8)

20 CPLD (Complex Programmable Logic Device) (1/5) CPLD:n voidaan ajatella olevan SPLD-piireistä kehitettyjä Piireille pystytään toteuttamaan sulautettujen järjestelmien toiminnallisia osia PLD PLD PLD I/O Block Programmable switch matrix I/O Block PLD PLD PLD Kuva. CPLD-piirin yleinen rakenne

21 CPLD (2/5) CPLD:n logiikkalohkon (PLD) voidaan ajatella olevan SPLD-piiri Makrosoluista koostuvat logiikkalohkot on liitetty kytkentämatriisiin, jolla toteutetaan: Kytkennät toisten logiikkalohkojen välillä Sekvenssilogiikka, logiikkalohkojen takaisinkytkennät Kytkennät I/O-lohkoihin ja sitä kautta piirin nastoihin Tyypillisesti logiikkalohkoon sisältyy 4-2 konfiguroitavaa makrosolua Makrosoluja CPLD-piireillä on < 1 kpl Kuva. CPLD-piirien teknisiä tietoja (Altera MAX 3)

22 CPLD (3/5) Kuva. CPLD-logiikkasolut (Altera MAX 3)

23 CPLD (4/5) Kuva. CPLD-makrosolu (Altera MAX 3)

24 CPLD (5/5) CPLD-piireillä on useita sovelluksia, niiden vahvuuksia ovat: Pystytään toteuttamaan monimutkaisia digitaalisia järjestelmiä Joustavuus ohjelmoitavuuden takia Uudelleenohjelmoitavuus Sisäinen EEPROM/FLASH, toimii ilman ulkoista muistia Hinta, tehonkulutus ja nopeus Sovelluksia, hardware-toteutus: Signaalinkäsittely, digitaaliset suotimet (FIR/IIR) Liimalogiikka järjestelmien välille, esim. muistiohjaimet Tiedonsiirtoprotokollien alimmat kerrokset, esim Profibus, Ethernet, PCI, jne. Piireille saatavilla valmiita IP-lohkoja (Intellectual Property) Rajoituksena usein makrosolujen (muistin) määrä

25 FPGA (Field Programmable Gate Array) FPGA-piirien ominaisuuksia: Koostuu logiikkasoluista, kuten CPLD Soluissa CPLD-piirejä vähemmän toiminnallisuutta, reititysmahdollisuudet kuitenkin huomattavasti monipuolisemmat SRAM-pohjainen, usein piirillä runsaasti muistia Solun toiminnallisuus ohjelmoidaan LUT:n (look-up-table) Piireillä on jopa yli miljoona porttia ja tuhansia logiikkasoluja Sovelluksia: Vastaavat sovellukset kuin CPLD:lläkin, mutta laajempina Nopea signaalinkäsittely Softaprosessorit jne

26 FPGA LUT (Look Up Table) FPGA-piireissä kombinatoorinen logiikka SRAM-pohjaisten LUT:ien avulla Esimerkki turvavyön varoitusvalo a) Logikkakaavio ja yhtälö b) Kytkentää vastaava totuustaulu c) Kytkentä ohjelmoituna LUT:iin (a) k p s k p s (c) 8x1 RAM a2 a1 a 1 D w (b) w kps' k p s w w Kuva. Logiikan implementointi LUT:lla

27 FPGA Kytkennän jakaminen LUT:ien välille Toteutettva toiminnallisuus jaetaan LUT:ien välille. Pienten yksikkökokojen avulla pystytään käyttämään tehokkaasti FPGA-piirin muistia hyödyksi. Esimerkin kytkentä: 2 x (3 tuloa, 1 lähtö), muistitarve 16 bittiä Sama kytkentä yhdellä LUT:lla (5 tuloa, 1 lähtö), muistitarve 2^5 bittiä = 32 bittiä k p s t d k p s t d x=kps' (A) 8x1 RAM a2 a1 a (A) 1 D x w=x+td w (B) x 8x1 RAM a2 a1 a (B) D w Kuva. Toiminnallisuuden jakaminen LUT:ien välille

28 Sekventiaalisten PLD-piirien sovelluskehityksestä Piirit kompleksisia rakenteeltaan, ohjelmointi vaati erityistyökalut: Kytkentäkaavioihin pohjautuvat työkalut Laitteistokuvauskielet (HDL, Hardware Describtion Language) Nykyisin tarjolla myös korkeamman tason sovelluskehittimiä, esim: Matlab/Simulink, Labview Laitteistokuvauskielet ovat saavuttaneet suosiota koodin uudelleenkäytettävyyden ja siirrettävyyden takia: Koodin syntetisointi kohdepiirille standardikoodista tehdään piirivalmistajan tarjoamilla työkaluilla Osa toiminnallisuudesta voidaan ostaa IP-lohkoina Testausta voidaan tehdä simulaattorilla ja valmiissa toteutuksessa esim. JTAG-liitynnän ja Boundary Scan-ominaisuuden avulla

29 Sekventiaalisten PLD-piirien sovelluskehityksestä VHDL-esimerkki: 2-tuloisen ANDportin määritys -- import std_logic from the IEEE library library IEEE; use IEEE.std_logic_1164.all; -- this is the entity entity my_and is port ( IN1, IN2 : in std_logic; OUT1: out std_logic); end entity; -- here comes the architecture architecture example of my_and is begin OUT1 <= IN1 and IN2; end example;

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Pasi Vähämartti ITSEOPISKELU 1(10) CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Tutki data-kirjasta XC9500-sarjan CPLD piirin: 1. Arkkitehtuuri 2. Suurimman ja pienimmän piirin portti-, pinni- ja

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Nopea tiedonkeruulaitteisto radiokanavamittauksiin

Nopea tiedonkeruulaitteisto radiokanavamittauksiin 19.10.1998 Nopea tiedonkeruulaitteisto radiokanavamittauksiin Matti Leppänen (TKK/IRC/Sovellettu elektroniikka) Kimmo Kalliola (TKK/IRC/Radiolaboratorio) 1 Johdanto Tämän raportin tavoitteena on esitellä

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät JOHDATUS ELEKTRONIIKKAAN Oppitunti 2 Elektroniikan järjestelmät 2 ELEKTRONIIKAN JÄRJESTELMÄT Aktiivisuusranneke Mittaa liikettä Keskustelee käyttäjän kanssa ledeillä ja värinällä Keskustelee radioiden

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

Ohjelmistoradio. Mikä se on:

Ohjelmistoradio. Mikä se on: 1 Mikä se on: SDR = Software Defined Radio radio, jossa ohjelmisto määrittelee toiminnot ja ominaisuudet: otaajuusalue olähetelajit (modulaatio) olähetysteho etuna joustavuus, jota tarvitaan sovelluksissa,

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Tekniikka 2018 VAASAN AMMATTIKORKEAKOULU Tietotekniikka TIIVISTELMÄ Tekijä Turo Id Opinnäytetyön nimi Mikroprosessorijärjestelmän suunnittelu FPGA:lla

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi

FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi ESITYKSEN SISÄLTÖ Flexibilis Oy lyhyesti FPGA FPGA-teknologian nykytilanne ja tulevaisuus Kaupallinen näkökulma Uudelleenkonfiguroinnin

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 2 - Virtuaali-instrumentointi Virtuaali-instrumentointi Virtual instrumentation is the use of customizable software and modular measurement hardware to create user-defined measurement systems,

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Teollisuusautomaation standardit Osio 9

Teollisuusautomaation standardit Osio 9 Teollisuusautomaation standardit Osio 9 Osio 1: SESKOn Komitea SK 65: Teollisuusprosessien ohjaus Osio 2: Toiminnallinen turvallisuus: periaatteet Osio 3: Toiminnallinen turvallisuus: standardisarja IEC

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä? Miksi moniprosessorijärjestelmä? Laskentaa voidaan hajauttaa useammille prosessoreille nopeuden, modulaarisuuden ja luotettavuuden vaatimuksesta tai hajauttaminen voi helpottaa ohjelmointia. Voi olla järkevää

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA. Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN

VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA. Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN Diplomityö, joka on jätetty tarkastettavaksi diplomi-insinöörin tutkintoa varten Vaasassa 08.05.2015

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

ALTERA DE2 KEHITYS- JA OPETUSALUSTA

ALTERA DE2 KEHITYS- JA OPETUSALUSTA ALTERA DE2 KEHITYS- JA OPETUSALUSTA Eeva Siuruainen Opinnäytetyö 15.12.2011 Tietotekniikan koulutusohjelma Oulun seudun ammattikorkeakoulu OULUN SEUDUN AMMATTIKORKEAKOULU TIIVISTELMÄ Koulutusohjelma Opinnäytetyö

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN

Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN Kimmo Suorsa Opinnäytetyö Kevät 2014 Tietotekniikan koulutusohjelma Oulun ammattikorkeakoulu

Lisätiedot

Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä

Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä BL10A1000 Kandidaatintyö ja seminaari KANDIDAATINTYÖ 7.10.2009 Mika Lehtisare 0280777 Säte 5 Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä TIIVISTELMÄ Lappeenrannan teknillinen yliopisto Sähkötekniikan

Lisätiedot

Sulautetut järjestelmät

Sulautetut järjestelmät 1 Sulautetut järjestelmät Tietojenkäsittelytieteen koulukunta Sulautetut järjestelmät 2 Sulautetut järjestelmät Tyypillisiä sovelluskohteita» automaattiset tankkausjärjestelmät huoltoasemilla,» mekaanisen

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 3, osa 2 PSoC (yksityiskohtaisempi esittely / JM) Alkuluennolta: Programmable System-on-Chip (PSoC) Ohjelmoitava järjestelmä vain yhdellä mikropiirillä PSoC 1,3,4,5LP eri suoritustasoja Tietoa:

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

Toimilohkojen turvallisuus tulevaisuudessa

Toimilohkojen turvallisuus tulevaisuudessa Toimilohkojen turvallisuus tulevaisuudessa Turvallisuusseminaari ASAF 30.10-1.11.2006 Mika Strömman Teknillinen korkeakoulu 1 Sisältö Luotettavuuden lisääminen hyvillä tavoilla Toimilohkokirjastot Turvatoimilohkot

Lisätiedot

FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä

FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä Diplomityön aihe on hyväksytty Lappeenrannan teknillisen yliopiston

Lisätiedot

Agenda. Johdanto Ominaispiirteitä Kokonaisjärjestelmän määrittely Eri alojen edustajien roolit Sulautetut järjestelmät ja sulautettu ohjelmointi

Agenda. Johdanto Ominaispiirteitä Kokonaisjärjestelmän määrittely Eri alojen edustajien roolit Sulautetut järjestelmät ja sulautettu ohjelmointi 1. Luento: Sulautetut Järjestelmät Arto Salminen, arto.salminen@tut.fi Agenda Johdanto Ominaispiirteitä Kokonaisjärjestelmän määrittely Eri alojen edustajien roolit Sulautetut järjestelmät ja sulautettu

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA Joona Salmela Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2019 2 Salmela J. (2019) Xilinxin

Lisätiedot

2-AKSELISEN LINEAARILIIKKEEN OHJAAMINEN

2-AKSELISEN LINEAARILIIKKEEN OHJAAMINEN 2-AKSELISEN LINEAARILIIKKEEN OHJAAMINEN Janne Rossi Kirjallisuustyö 6.11.2011 LUT Energia Sähkötekniikan koulutusohjelma SISÄLLYSLUETTELO 1. SOVELLUKSEN YLEINEN KUVAUS... 3 2. VAATIMUSMÄÄRITTELY... 3 2.1

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 81122P (4 ov.) 30.5.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

AU Automaatiotekniikka. Toimilohko FB

AU Automaatiotekniikka. Toimilohko FB AU080401 Automaatiotekniikka Toimilohko FB Tarkoitus Dokumentissa kuvataan, mikä on toimilohko (FB) miten toimilohko muodostetaan ja miten sitä sovelletaan S7 ohjelmointiympäristössä (STEP7) mitä etua

Lisätiedot

Turva-automaation suunnittelu

Turva-automaation suunnittelu Tero Lehtimäki / 15.10.2006 1 (15) Tiivistelmä: Luennon tarkoituksena on käsitellä TLJ-järjestelmissä käytettävien turvaautomaatio ratkaisujen suunnittelussa huomioitavia asioita yleisellä tasolla siten,

Lisätiedot

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 811122P (5 op.) 12.12.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR.

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR. Luento 3 Digital logic Stallings: Appendix B Combinational Circuits Simplification Sequential Circuits Luento 3-1 Luento 3-2 George Boole u ideas 1854 Claude Shannon u apply to circuit design, 1938 u father

Lisätiedot

Ohjelmiston testaus ja laatu. Ohjelmistotekniikka elinkaarimallit

Ohjelmiston testaus ja laatu. Ohjelmistotekniikka elinkaarimallit Ohjelmiston testaus ja laatu Ohjelmistotekniikka elinkaarimallit Vesiputousmalli - 1 Esitutkimus Määrittely mikä on ongelma, onko valmista ratkaisua, kustannukset, reunaehdot millainen järjestelmä täyttää

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Luento 3-1 Tietokoneen rakenne Boolean Algebra Luento 3-2 Tietokoneen

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona Varauspumppu-PLL Vaihevertailija vertaa kelloreunoja aikatasossa. Jos sisääntulo A:n taajuus on korkeampi tai vaihe edellä verrattuna sisääntulo B:hen, ulostulo A on ylhäällä ja ulostulo B alhaalla ja

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Ohjeita Siemens Step7, Omron CX Programmer käyttöön

Ohjeita Siemens Step7, Omron CX Programmer käyttöön Ohjeita Siemens Step7, Omron CX Programmer käyttöön Sisällysluettelo 1 Siemens Step7 Manager...1 1.1 Laitteistomäärittely...1 1.2 Ohjelmalohkon luonti ja toteutus...2 1.3 Ohjelman kutsu...3 2 CX-Programmer...4

Lisätiedot

PROGNOS. Panostusnosturin tiedonsiirto ja mittaukset. Tommi Parkkila

PROGNOS. Panostusnosturin tiedonsiirto ja mittaukset. Tommi Parkkila PROGNOS Panostusnosturin tiedonsiirto ja mittaukset Tommi Parkkila Tommi.Parkkila@vtt.fi 020 722 2362 FPGA-SOC FPSLIC AVR / ANTURI Tiedonsiirto- ja mittausjärjestelmä WLAN / NANONET VALVOMO PÄÄNOSTO NANONET

Lisätiedot

AU Automaatiotekniikka. Funktio FC

AU Automaatiotekniikka. Funktio FC AU080401 Automaatiotekniikka Funktio FC Tarkoitus Dokumentissa kuvataan, mikä on funktio miksi funktioita kannattaa käyttää milloin funktioita kannattaa käyttää mitä asioita on huomioitava funktiota luotaessa

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009 VHDL/Verilog/SystemC Jukka Jokelainen 20.10.2009 Sisältö Mitä ihmettä on hardwaren ohjelmointi? VHDL Verilog SystemC Analogiaelektroniikan yhdistäminen digitaaliseen maailmaan Yhteenveto ja pohdintaa Hardwaren

Lisätiedot

LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO. Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa

LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO. Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa Työn tarkastajina ja ohjaajina toimivat professori Pertti Silventoinen ja TkT

Lisätiedot

KÄYTTÖOHJE PEL 2500 / PEL 2500-M

KÄYTTÖOHJE PEL 2500 / PEL 2500-M V2.0.0 (24.10.2014) 1 (7) KÄYTTÖÖNOTTO Asennus - Lähetin tulisi asentaa mittauskohdan yläpuolelle kondensoitumisongelmien välttämiseksi. - Kanavan ylipaine mitataan siten, että kanavan mittayhde yhdistetään

Lisätiedot

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki Ohjelmoitava päävahvistin WWK-951 Käyttöohje Anvia TV Oy Rengastie 10 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 3 3. Painikkeet...

Lisätiedot

TURVALLISEN TEKNIIKAN SEMINAARI 2003. Laitteiden etähallinta tietoverkkojen välityksellä Jani Järvinen, tuotepäällikkö

TURVALLISEN TEKNIIKAN SEMINAARI 2003. Laitteiden etähallinta tietoverkkojen välityksellä Jani Järvinen, tuotepäällikkö TURVALLISEN TEKNIIKAN SEMINAARI 2003 Laitteiden etähallinta tietoverkkojen välityksellä Jani Järvinen, tuotepäällikkö Mitä on etähallinta? Jotain muuta kuin laitteen välittömässä läheisyydessä tapahtuvaa

Lisätiedot

Työ 1: Logiikka ja robotti

Työ 1: Logiikka ja robotti AS-0.2230 Automaatio- ja systeemitekniikan laboratoriotyöt Työ 1: Logiikka ja robotti Sisältö Työn luonne... 2 Ohjelmoitava logiikka... 2 Hyllyvarasto... 3 Robotti... 4 Logiikan ohjelmointi... 5 Esiselostustehtävät...

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Lecture 3-1 Tietokoneen rakenne Boolean Algebra Lecture 3-2 Tietokoneen

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Ohjelmoitava päävahvistin WWK-951LTE

Ohjelmoitava päävahvistin WWK-951LTE Ohjelmoitava päävahvistin WWK-951LTE Käyttöohje Finnsat Oy Yrittäjäntie 15 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 4 4. Vahvistimen

Lisätiedot

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Laitteiden sisäiseen tietoliikenteeseen on kehitetty omat dataväylänsä. Näistä tärkeimmät: 1 wire [ käyttää mm. Dallas, Maxim. ] I²C [ Trademark

Lisätiedot

Mikrokontrollerit. Mikrokontrolleri

Mikrokontrollerit. Mikrokontrolleri Mikrokontrollerit S-108.2010 Elektroniset mittaukset 18.2.2008 Mikrokontrolleri integrointi säästää tilaa piirilevyllä usein ratkaisu helpompi ja nopeampi toteuttaa ohjelmallisesti prosessori 4-64 bittinen

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Tietokoneen mysteeri sekventiaalilogiikka

Tietokoneen mysteeri sekventiaalilogiikka 111111111 111111111 1111111 11111111 111111 1111111 Tietokoneen mysteeri sekventiaalilogiikka Petteri Kaski Tietotekniikan laitos Aalto-yliopisto CS-A112 Ohjelmointi 2 1. maaliskuuta 217 111111111 11111111

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Ohjelmointitehtävänä on laatia ohjelma jääkaapin ovihälyttimelle. Hälytin toimii 3 V litium paristolla ja se sijoitetaan jääkaapin sisälle. Hälyttimen

Lisätiedot

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään.

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään. MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään. Luettavia ja ohjelmoitavia tietoja ovat huonesäätimen SAR sekä vetokaappisäätimien FHR ja

Lisätiedot

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään.

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään. MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään. Luettavia ja ohjelmoitavia tietoja ovat huonesäätimen SAR sekä vetokaappisäätimien FHR ja

Lisätiedot

Q = pienin suunniteltu ilmamäärä ja k = puhaltimen tai iirispellin k-arvo.

Q = pienin suunniteltu ilmamäärä ja k = puhaltimen tai iirispellin k-arvo. V1..12(1.1.215) 1 (6) Tämä ohje on tarkoitettu laitteille, joiden ohjelmistoversio on 1..12 tai uudempi. ILMAMÄÄRÄN MITTAUS Ilmamäärä voidaan mitata: 1. Virtausmittausliitännöillä varustetuista puhaltimista.

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

Fr. Sauter AG, per. 1910 Grindelwald, Aikakytkin katuvaloille perheyritys, pääkonttori Basel. Sveitsiläisellä tarkkuudella energiaa säästäen

Fr. Sauter AG, per. 1910 Grindelwald, Aikakytkin katuvaloille perheyritys, pääkonttori Basel. Sveitsiläisellä tarkkuudella energiaa säästäen Automaatio-osaamista osaamista vuodesta 1984 Perustettu 1984 Yksityinen osakeyhtiö Henkilöstö 10 Liikevaihto 3 M Prosessiautomaation kenttälaitteet virtaus- ja painemittaukset päästömittaukset, analysaattorit

Lisätiedot