Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Koko: px
Aloita esitys sivulta:

Download "Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068"

Transkriptio

1 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla. Standarditavassa käytettiin D-kiikkuja ja kombinaatiologiikkaa. Otto b, anto x. a. Piirrä ensin tilakoneen peruskonstruktio blokkikuvana (2p). Kuinka monta bittiä tarvitaan tilarekisteriin? b. Laadi logiikan tila/totuustaulu. Tila+otto muuttujia tässä on 4 kpl (eli periaatteessa 16 riviä) ja tilakaavio on aika selkeä laatia. Viimeisissä tiloissa lisäksi b=0 tai 1 ei vaikuta. c. Mikä on outputin x totuusfunktio (kokoa mintermilauseke taulusta). Ei tarvitse supistaa. (2p). Inputs: b; x=0 000 b b x=0 b x=1 x= b x= ) Ohessa on korkean tason sekvensserin tilakaavio suoraan monisteesta. Selitä miten, vaihe vaiheelta, konstruoit tästä tilakoneen. Kuvia ei tarvitse piirtää, selitä monisteessa esitetty konstruoiminen tyyliin: Ensin tilakaaviosta luodaan..., seuraavaksi... (6p)

2 3) Ohessa on D-lukkopiiriin (D latch) ja D-kiikkuun (D Flip-flop) tulevat signaalit: kello CLK ja otto D. Piirrä annot Q ajan funktiona. Alkutila on molemmissa 0. (3p)

3 Elektroniikkajärjestelmät ETT_2068 tentti Tenttikysymyksiä on 6, joista kaksi kysymystä on 3 pisteen ja muut 6 pisteen kysymyksiä. 1.a) Ohessa on D-lukkopiiriin (D latch) ja D-kiikkuun (D Flip-Flop) tulevat ottosignaalit: kello CLK ja D. Piirrä otot paperiisi ja lisää puuttuvat annot Q ajan funktiona molemmille piireille. Alkutila on molemmissa 0. (3p) 2) Kuvaa kuinka sekvenssilogiikkaa suunnitellaan järjestelmällisesti. Ts. kuvaa digitaalisen sekvenssipiirin (D-kiikuista ja kombinaatiopiireistä tehdyn yksinkertaisen kontrollerin ei siis mikään mikroprosessori) suunnittelun 5 vaihetta lyhyesti. Kyseessä on suoraan monisteen Controller Design Process. (6p)

4 Elektroniikkajärjestelmät ETT_2068 tentti Tenttikysymyksiä on nyt vain 5, mutta arvostelu on sellainen, että analogia-ja digitaaliosuus ovat samanarvoiset. 1) Ohessa on tilaesimerkki, hyvin samankaltainen kuin luentomonisteen esimerkki jonka avulla demonstroitiin tilakoneiden suunnittelua. Tehtävänäsi on nyt selvittää kuinka konstruoit sekvenssilogiikan tilakaaviosta monisteessa esitetyllä standarditavalla. Standarditavassa käytettiin D- kiikkuja ja kombinaatiologiikkaa (6p). a) Piirrä tilakoneen peruskonstruktio blokkikuvana. (Otto, anto, current state, next state) b) Laadi tila/totuustaulu. Otto- ja antomuuttujia on tässä kumpiakin vain 3 bittiä eli totuustaulu on yksinkertainen (8 riviä, 6 saraketta). c) Selvitä miten konstruoit anto-x ja next-state -bitit n0, n1 tilan ja inputin b funktiona. Helpointa on antaa X, n0 ja n1 (output, next state -bitit) suoraan mintermilausekkeina, niin mitään selityksiä/jaarituksia ei kaivata.

5 Elektroniikkajärjestelmät ETT_2068 välikoe 1a) Ohessa on D-lukkopiiriin (D latch) ja D-kiikkuun (D Flip-flop) tulevat signaalit: kello CLK ja otto D. Piirrä annot Q ajan funktiona. Alkutila on molemmissa 0. b) Kuvaa kontrollerisuunnittelun 5 vaihetta (monisteen Controller Design Process) lyhyesti vaikka ranskalaisin viivoin. 2 Seuraava tehtävä ei ole vaikea vaikka tekstiä onkin. Kirjaa ensin vaikka suttupaperille otot, annot ja sekvenssin vaiheet omin sanoin. Liukuhihnan alkupäässä on optinen havaitsija Q1 ja sitten myöhemmin hihnalla on painavan paketin havaitsija Q2. Tilat Q1=0=ei pakettia havaittu, Q1=1=paketti havaittu. Q2=1 painavan paketin kohdalla, muuten =0. Hihnalla on myös sähkömoottori M, joka vie paketteja eteenpäin (0= moottori ei käy, 1= moottori käy), ja mekaaninen ohjain, reitin valinta V. Ohjaus on V=0=normaalipaketeille ja V=1=painaville. Alkutilanteessa Q1=Q2=M=V=0 ja alkutila 000. Kun hihnan alkuun tulee paketti, niin Q1 1 ja seuraavalla kellolla lähdetään sekvenssiin. Moottori käynnistyy ja pysyy päällä sekvenssin loppuun (M=1). Kun moottori on ollut käynnissä yhden syklin ajan, aletaan katsoa reittivalintaa V. Reitti valitaan kolmannella kellolla signaalin Q2 perusteella. Valitsimen ohjaus on voimassa yhden syklin ajan. Sitten palataan alkutilaan odottelemaan seuraavaa pakettia. Oletetaan, että virhetiloja ei esiinny. Piirrä sekvenssikaavio (neljä kelloa sekvenssissä, yksi haarautuminen, eli yhteensä 5 tilaa = kolme tilabittiä). Piirrä tilakoneen lohkokaavio. Määritä totuustaulusta yhden annon ja jonkun seuraavan tilan bitin (next state bitti, yksi kolmesta riittää) totuusfunktio, piirrä se portteina ja sijoita kaavioon. Huom! Käytä x-merkintää totuustaulussa kun tilalla Q1 tai Q2 ei ole merkitystä jossain kohdassa (esim. Q1 vaikuttaa vain sekvenssin alkuun, myöhemmin ei eli x taulussa), lyhentää taulua OLEELLISESTI.

6 Elektroniikkajärjestelmät ETT_2068. Tentti ) Seuraava tehtävä on suoraan luentojen ja tenttiohjeiden laser-timer esimerkki: Oletetaan että käynnistettäessä systeemi on perustilassa. Kun nappulaa b painetaan, lähettää laser täsmälleen kolme kellosykliä laser-valoa silmään/iholle (leikkaus) ja palaa perustilaan. Mikäli nappulaa painetaan uudelleen ennen perustilaan palaamista, niin systeemi ei reagoi. a) Tee tilakaavio (otto b, anto x ja 4 tilaa) b) Piirrä tarvittavan tilakoneen arkkitehtuuri c) Anna tiloille bittivaste ja luo totuustaulu: ottoina b ja nykyinen tila, antoina x ja seuraavat tila d) Kirjoita annon x ja seuraavan tilan bittien totuusfunktiot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A.

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A. Tehtävä. Tämä tehtävä on aineistotehtävä, jossa esitetään ensin tehtävän teoria. Sen jälkeen esitetään neljä kysymystä, joissa tätä teoriaa pitää soveltaa. Mitään aikaisempaa tehtävän aihepiirin tuntemusta

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

PHYS-A0120 Termodynamiikka syksy 2017

PHYS-A0120 Termodynamiikka syksy 2017 PHYS-A0120 Termodynamiikka syksy 2017 Emppu Salonen Prof. Peter Liljeroth Viikko 3: Lämpövoimakoneet ja termodynamiikan 2. pääsääntö Maanantai 13.11. ja tiistai 14.11. Milloin prosessi on adiabaattinen?

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

T Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 2 (opetusmoniste, lauselogiikka )

T Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 2 (opetusmoniste, lauselogiikka ) T-79.144 Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 2 opetusmoniste, lauselogiikka 2.1-3.5) 21 24.9.2004 1. Määrittele lauselogiikan konnektiivit a) aina epätoden lauseen ja implikaation

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2017-2018 Yhteenveto Yleistä kurssista Kurssin laajuus 5 op Luentoja 30h Harjoituksia 21h Itsenäistä työskentelyä n. 80h 811120P Diskreetit rakenteet, Yhteenveto 2 Kurssin

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Esimerkki 1: Kahviautomaatti.

Esimerkki 1: Kahviautomaatti. Esimerkki 1: Kahviautomaatti. ÄÄRELLISET AUTOAATIT JA SÄÄNNÖLLISET KIELET 2.1 Tilakaaviot ja tilataulut Tarkastellaan aluksi tietojenkäsittelyjärjestelmiä, joilla on vain äärellisen monta mahdollista tilaa.

Lisätiedot

Puzzle SM 2005 15. 25.7.2005. Pistelasku

Puzzle SM 2005 15. 25.7.2005. Pistelasku Puzzle SM 005 5. 5.7.005 Pistelasku Jokaisesta oikein ratkotusta tehtävästä saa yhden () pisteen, minkä lisäksi saa yhden () bonuspisteen jokaisesta muusta ratkojasta, joka ei ole osannut ratkoa tehtävää.

Lisätiedot

Johdatus logiikkaan I Harjoitus 4 Vihjeet

Johdatus logiikkaan I Harjoitus 4 Vihjeet Johdatus logiikkaan I Harjoitus 4 Vihjeet 1. Etsi lauseen ((p 0 p 1 ) (p 0 p 1 )) kanssa loogisesti ekvivalentti lause joka on (a) disjunktiivisessa normaalimuodossa, (b) konjunktiivisessa normaalimuodossa.

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

UML- mallinnus: Tilakaavio

UML- mallinnus: Tilakaavio UML- mallinnus: Tilakaavio Karkea kuvaus UML- kaavioiden käytöstä ohjelmistonkehityksen eri vaiheissa ja tehtävissä. Mallinnus tilakaavioilla Tilakaaviolla kuvataan yhden luokan olioiden tilan muuttumista

Lisätiedot

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 26. tammikuuta 2012

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 26. tammikuuta 2012 TIEA241 Automaatit ja kieliopit, kevät 2012 Antti-Juhani Kaijanaho TIETOTEKNIIKAN LAITOS 26. tammikuuta 2012 Sisällys Luennon pähkinä Millä tavalla voidaan rakentaa tietokoneohjelma (tai kirjasto), joka

Lisätiedot

Tietotekniikan valintakoe

Tietotekniikan valintakoe Jyväskylän yliopisto Tietotekniikan laitos Tietotekniikan valintakoe 2..22 Vastaa kahteen seuraavista kolmesta tehtävästä. Kukin tehtävä arvostellaan kokonaislukuasteikolla - 25. Jos vastaat useampaan

Lisätiedot

Neljän alkion kunta, solitaire-peli ja

Neljän alkion kunta, solitaire-peli ja Neljän alkion kunta, solitaire-peli ja taikaneliöt Kalle Ranto ja Petri Rosendahl Matematiikan laitos, Turun yliopisto Nykyisissä tietoliikennesovelluksissa käytetään paljon tekniikoita, jotka perustuvat

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

SGN Signaalinkäsittelyn perusteet Välikoe Heikki Huttunen

SGN Signaalinkäsittelyn perusteet Välikoe Heikki Huttunen SGN- Signaalinkäsittelyn perusteet Välikoe.5.4 Heikki Huttunen Tentissä ja välikokeessa saa käyttää vain tiedekunnan laskinta. Tenttikysymyksiä ei tarvitse palauttaa. Sivuilla -3 on. Sivuilla 4-5 on. Sivulla

Lisätiedot

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9)

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9) Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9) Digitaalitekniikan matematiikka Luku 0 Sivu 2 (9) Yleistä opintojaksosta Laajuus 3 op = 80 h, kokonaan lukukauden

Lisätiedot

ELEC-C1110 Automaatio- ja systeemitekniikan. Luento 11 Esimerkki automaation soveltamisesta

ELEC-C1110 Automaatio- ja systeemitekniikan. Luento 11 Esimerkki automaation soveltamisesta ELEC-C1110 Automaatio- ja systeemitekniikan perusteet Luento 11 Esimerkki automaation soveltamisesta Tämän luennon aihe Esimerkki automaation soveltamisesta käytännössä: WorkPartner-palvelurobotti WorkPartner

Lisätiedot

Integraalifunktio. Pohdittavaa: Minkä funktion derivaattafunktio on a) 3x 2, b) 2x? MiH (Ivalon lukio) MAA10 25. kesäkuuta 2014 1 / 5

Integraalifunktio. Pohdittavaa: Minkä funktion derivaattafunktio on a) 3x 2, b) 2x? MiH (Ivalon lukio) MAA10 25. kesäkuuta 2014 1 / 5 Pohdittavaa: Minkä funktion derivaattafunktio on a) 3x 2, b) 2x? MiH (Ivalon lukio) MAA10 25. kesäkuuta 2014 1 / 5 Pohdittavaa: Minkä funktion derivaattafunktio on a) 3x 2, b) 2x? Derivaatta a) 3x 2 Funktio

Lisätiedot

Multivibraattorit. Bistabiili multivibraattori:

Multivibraattorit. Bistabiili multivibraattori: Multivibraattorit Elektroniikan piiri jota käytetään erilaisissa kahden tason systeemeissä kuten oskillaattorit, ajastimet tai kiikkut. Multivibraattorissa on vahvistava elementtti ja ristiinkytketyt rvastukset

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio

Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio Analyysi Tarkentaa ja jäsentää vaatimusmäärittelyä, vastaa kysymykseen MITÄ järjestelmän tulisi tehdä. Suoritetaan seuraavia

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Ratkaisu: Yksi tapa nähdä, että kaavat A (B C) ja (A B) (A C) ovat loogisesti ekvivalentit, on tehdä totuustaulu lauseelle

Ratkaisu: Yksi tapa nähdä, että kaavat A (B C) ja (A B) (A C) ovat loogisesti ekvivalentit, on tehdä totuustaulu lauseelle HY / Matematiikan ja tilastotieteen laitos Johdatus logiikkaan I, syksy 2018 Harjoitus 3 Ratkaisuehdotukset 1. Olkoot A, B ja C propositiolauseita. Näytä, että A (B C) (A B) (A C). Ratkaisu: Yksi tapa

Lisätiedot

Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio

Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio Analyysi, dynaaminen mallintaminen, yhteistoimintakaavio ja sekvenssikaavio Analyysi Tarkentaa ja jäsentää vaatimusmäärittelyä, vastaa kysymykseen MITÄ järjestelmän tulisi tehdä. Suoritetaan seuraavia

Lisätiedot

Taulukkolaskenta (30 pistettä)

Taulukkolaskenta (30 pistettä) Taulukkolaskenta (30 pistettä) Yleistä Tehtävässä käsitellään koiranäyttelyn budjettia varten tehtyä Excel -työkirjaa. Käytä kaavan kopiointia ja kiinteitä viittauksia aina kun mahdollista. Käytettävät

Lisätiedot

1. Tarkastellaan seuraavaa kaaviota

1. Tarkastellaan seuraavaa kaaviota HELSINGIN YLIOPISTO TIETOJENKÄSITTELYTIETEEN LAITOS JOHDATUS SOVELLUSSUUNNITTELUUN (JSS) 19.12.2001 (H.Laine) 1. Tarkastellaan seuraavaa kaaviota Mitkä seuraavista väitteistä ovat kaavion mukaisia t.s.

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

6. Harjoitusjakso II. Vinkkejä ja ohjeita

6. Harjoitusjakso II. Vinkkejä ja ohjeita 6. Harjoitusjakso II Seuraavaksi harjoitellaan algebrallisten syötteiden, komentojen ja funktioiden käyttöä GeoGebrassa. Tarjolla on ensimmäisen harjoittelujakson tapaan kahden tasoisia harjoituksia: perustaso

Lisätiedot

ITKP102 Ohjelmointi 1 (6 op)

ITKP102 Ohjelmointi 1 (6 op) ITKP102 Ohjelmointi 1 (6 op) Tentaattori: Antti-Jussi Lakanen 20. huhtikuuta 2018 Vastaa kaikkiin tehtäviin. Tee kukin tehtävä omalle konseptiarkille. Noudata ohjelmointitehtävissä kurssin koodauskäytänteitä.

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010 1/7 S-108.3020 Elektroniikan häiriökysymykset Laboratoriotyö, kevät 2010 Häiriöiden kytkeytyminen yhteisen impedanssin kautta lämpötilasäätimessä Viimeksi päivitetty 25.2.2010 / MO 2/7 Johdanto Sähköisiä

Lisätiedot

keskenään isomorfiset? (Perustele!) Ratkaisu. Ovat. Tämän näkee indeksoimalla kärjet kuvan osoittamalla tavalla: a 1 b 3 a 5

keskenään isomorfiset? (Perustele!) Ratkaisu. Ovat. Tämän näkee indeksoimalla kärjet kuvan osoittamalla tavalla: a 1 b 3 a 5 Johdatus diskreettiin matematiikkaan Harjoitus 6, 21.10.2015 1. Ovatko verkot keskenään isomorfiset? (Perustele!) Ratkaisu. Ovat. Tämän näkee indeksoimalla kärjet kuvan osoittamalla tavalla: a 2 b 4 a

Lisätiedot

2 Konekieli, aliohjelmat, keskeytykset

2 Konekieli, aliohjelmat, keskeytykset ITK145 Käyttöjärjestelmät, kesä 2005 Tenttitärppejä Tässä on lueteltu suurin piirtein kaikki vuosina 2003-2005 kurssin tenteissä kysytyt kysymykset, ja mukana on myös muutama uusi. Jokaisessa kysymyksessä

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

MS-A010{3,4} (ELEC*) Differentiaali- ja integraalilaskenta 1 Luento 4: Derivaatta

MS-A010{3,4} (ELEC*) Differentiaali- ja integraalilaskenta 1 Luento 4: Derivaatta MS-A010{3,4} (ELEC*) Differentiaali- ja integraalilaskenta 1 Luento 4: Derivaatta Pekka Alestalo, Jarmo Malinen Aalto-yliopisto, Matematiikan ja systeemianalyysin laitos 21.9.2016 Pekka Alestalo, Jarmo

Lisätiedot

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia Sami Haapanala syksy 2013 Fysiikan laitos, Ilmakehätieteiden osasto Datan käsittely ja tallentaminen Käytännössä kaikkien mittalaitteiden ensisijainen signaali on analoginen Jotta tämä

Lisätiedot

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 12. tammikuuta 2012

TIEA241 Automaatit ja kieliopit, kevät Antti-Juhani Kaijanaho. 12. tammikuuta 2012 TIEA241 Automaatit ja kieliopit, kevät 2012 Antti-Juhani Kaijanaho TIETOTEKNIIKAN LAITOS 12. tammikuuta 2012 Sisällys Sisällys Äärellisiä automaatteja PUSH ON PUSH OFF Q T Q J C C H S C,Q C,Q 0 50s 1e

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Planssit (layouts) ja printtaus

Planssit (layouts) ja printtaus 1 / 21 Digitaalisen arkkitehtuurin yksikkö Aalto-yliopisto 17.11.2015 Planssit (layouts) ja printtaus Yksittäisen kuvan printtaus 2 / 21 Ennen printtausta valitse näkymä, jonka haluat printata, klikkaamalla

Lisätiedot

Osatentti

Osatentti Osatentti 3 1.4.016 Nimi: Opiskelijanumero: Ohjeet: Kirjoita vastaukset paperissa annettuun tilaan. Lisävastaustilaa on paperin lopussa. Käytä selvää käsialaa. Laskin EI ole sallittu. Tenttikaavasto jaetaan.

Lisätiedot

UML -mallinnus TILAKAAVIO

UML -mallinnus TILAKAAVIO UML -mallinnus TILAKAAVIO SISÄLLYS 3. Tilakaavio 3.1 Tilakaavion alku- ja lopputilat 3.2 Tilan nimi, muuttujat ja toiminnot 3.3 Tilasiirtymä 3.4 Tilasiirtymän vai tilan toiminnot 3.5 Tilasiirtymän tapahtumat

Lisätiedot

ARVOSANA-HARJOITUKSEN RATKAISU

ARVOSANA-HARJOITUKSEN RATKAISU ARVOSANA-HARJOITUKSEN RATKAISU Tee allaoleva taulukko. Arvosana-sarakkeeseen pitää tehdä sellainen jos-funktio. joka määrittää arvosanaksi Hylätty tai Hyväksyttty. Jos pisteet ovat vähintään 10, arvosanaksi

Lisätiedot

Diskreetin matematiikan perusteet Malliratkaisut 2 / vko 38

Diskreetin matematiikan perusteet Malliratkaisut 2 / vko 38 Diskreetin matematiikan perusteet Malliratkaisut 2 / vko 38 Tuntitehtävät 11-12 lasketaan alkuviikon harjoituksissa ja tuntitehtävät 15-16 loppuviikon harjoituksissa. Kotitehtävät 13-14 tarkastetaan loppuviikon

Lisätiedot

Ratkaisu. Tarkastellaan aluksi Fe 3+ - ja Fe 2+ -ionien välistä tasapainoa: Nernstin yhtälö tälle reaktiolle on:

Ratkaisu. Tarkastellaan aluksi Fe 3+ - ja Fe 2+ -ionien välistä tasapainoa: Nernstin yhtälö tälle reaktiolle on: Esimerkki Pourbaix-piirroksen laatimisesta Laadi Pourbaix-piirros, jossa on esitetty metallisen ja ionisen raudan sekä raudan oksidien stabiilisuusalueet vesiliuoksessa 5 C:een lämpötilassa. Ratkaisu Tarkastellaan

Lisätiedot

ax + y + 2z = 0 2x + y + az = b 2. Kuvassa alla on esitetty nesteen virtaus eräässä putkistossa.

ax + y + 2z = 0 2x + y + az = b 2. Kuvassa alla on esitetty nesteen virtaus eräässä putkistossa. BM20A5800 Funktiot, lineaarialgebra ja vektorit Harjoitus 7, Syksy 206 Tutkitaan yhtälöryhmää x + y + z 0 2x + y + az b ax + y + 2z 0 (a) Jos a 0 ja b 0 niin mikä on yhtälöryhmän ratkaisu? Tulkitse ratkaisu

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

Helsingin yliopisto, Tietojenkäsittelytieteen laitos Tietokantojen perusteet, , H.Laine

Helsingin yliopisto, Tietojenkäsittelytieteen laitos Tietokantojen perusteet, , H.Laine Helsingin yliopisto, Tietojenkäsittelytieteen laitos Tietokantojen perusteet, 3.5.2007, H.Laine Kirjoita kuhunkin erilliseen vastauspaperiin kurssin nimi, oma nimesi, syntymäaikasi ja nimikirjoituksesi

Lisätiedot

Jos olet käynyt kurssin aikaisemmin, merkitse vuosi jolloin kävit kurssin nimen alle.

Jos olet käynyt kurssin aikaisemmin, merkitse vuosi jolloin kävit kurssin nimen alle. 1(4) Lappeenrannan teknillinen yliopisto School of Energy Systems LUT Energia Nimi, op.nro: BH20A0450 LÄMMÖNSIIRTO Tentti 13.9.2016 Osa 1 (4 tehtävää, maksimi 40 pistettä) Vastaa seuraaviin kysymyksiin

Lisätiedot

Helsinki University of Technology

Helsinki University of Technology Helsinki University of Technology Laboratory of Telecommunications Technology S-38.211 Signaalinkäsittely tietoliikenteessä I Signal Processing in Communications (2 ov) Syksy 1997 12. Luento: Kertausta,

Lisätiedot

Paikantavan turvapuhelimen käyttöohje

Paikantavan turvapuhelimen käyttöohje Paikantavan turvapuhelimen käyttöohje Stella Turvapuhelin ja Hoiva Oy Tämä ohje kertoo miten paikantavaa turvapuhelinta käytetään Stella Turvapuhelin ja Hoiva Oy Mannerheimintie 164 00300 Helsinki Sisällysluettelo

Lisätiedot

Pohjan ja leikkauksen tekeminen Casa Parrista

Pohjan ja leikkauksen tekeminen Casa Parrista 1 / 12 Digitaalisen arkkitehtuurin yksikkö Aalto-yliopisto 24.11.2015 Pohjan ja leikkauksen tekeminen Casa Parrista Talon sijoittaminen maastoon 2 / 12 1. File --> import --> valitse maastotiedosto (tai

Lisätiedot

origo III neljännes D

origo III neljännes D Sijoita pisteet A(1,4) ja B(4,5;5) sekä C(-3,4) ja D(-4,--5) y II neljännes C A I neljännes B x origo III neljännes D IV neljännes KOTIT. Sijoita ja nimeä koordinaatistoon pisteitä niin, että pisteet yhdistettäessä

Lisätiedot

Numeeriset menetelmät TIEA381. Luento 12. Kirsi Valjus. Jyväskylän yliopisto. Luento 12 () Numeeriset menetelmät / 33

Numeeriset menetelmät TIEA381. Luento 12. Kirsi Valjus. Jyväskylän yliopisto. Luento 12 () Numeeriset menetelmät / 33 Numeeriset menetelmät TIEA381 Luento 12 Kirsi Valjus Jyväskylän yliopisto Luento 12 () Numeeriset menetelmät 25.4.2013 1 / 33 Luennon 2 sisältö Tavallisten differentiaaliyhtälöiden numeriikasta Rungen

Lisätiedot

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe SGN-00 Signaalinkäsittelyn menetelmät Välikoe 9.3.009 Sivuilla - on. Älä vastaa siihen, jos et ollut ensimmäisessä välikokeessa. Tentin kysymykset ovat sivuilla 3-4. Vastaa vain jompaan kumpaan kokeeseen,

Lisätiedot

Tentissä ratkaistaan neljä ohjelmointitehtävää Javalla. Tentti kestää kolme tuntia.

Tentissä ratkaistaan neljä ohjelmointitehtävää Javalla. Tentti kestää kolme tuntia. Tentti Tentti Tentissä ratkaistaan neljä ohjelmointitehtävää Javalla. Tentti kestää kolme tuntia. Tule paikalle viimeistään noin 20 minuuttia ennen tentin alkua, koska tentti pyritään aloittamaan tasalta.

Lisätiedot

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro:

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro: KILPAILIJAN TEHTÄVÄT Kilpailijan nimi / Nro: Tehtävän laatinut: Hannu Laurikainen, Deltabit Oy Kilpailutehtävä Kilpailijalle annetaan tehtävässä tarvittavat ohjelmakoodit. Tämä ohjelma on tehty laitteen

Lisätiedot

missä on myös käytetty monisteen kaavaa 12. Pistä perustelut kohdilleen!

missä on myös käytetty monisteen kaavaa 12. Pistä perustelut kohdilleen! Matematiikan johdantokurssi Kertausharjoitustehtävien ratkaisuja/vastauksia/vihjeitä. Osoita todeksi logiikan lauseille seuraava: P Q (P Q). Ratkaisuohje. Väite tarkoittaa, että johdetut lauseet P Q ja

Lisätiedot

Diskreetin matematiikan perusteet Laskuharjoitus 1 / vko 8

Diskreetin matematiikan perusteet Laskuharjoitus 1 / vko 8 Diskreetin matematiikan perusteet Laskuharjoitus 1 / vko 8 Tuntitehtävät 1-2 lasketaan alkuviikon harjoituksissa ja tuntitehtävät 5- loppuviikon harjoituksissa. Kotitehtävät 3-4 tarkastetaan loppuviikon

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Ohjelmoinnin jatkokurssi, kurssikoe 28.4.2014

Ohjelmoinnin jatkokurssi, kurssikoe 28.4.2014 Ohjelmoinnin jatkokurssi, kurssikoe 28.4.2014 Kirjoita jokaiseen palauttamaasi konseptiin kurssin nimi, kokeen päivämäärä, oma nimi ja opiskelijanumero. Vastaa kaikkiin tehtäviin omille konsepteilleen.

Lisätiedot

Integroitu johtamisjärjestelmän (IMS) paikallisen ilmastotyön tukena

Integroitu johtamisjärjestelmän (IMS) paikallisen ilmastotyön tukena Integroitu johtamisjärjestelmän (IMS) paikallisen ilmastotyön tukena Pekka Salminen Union of the Baltic Cities, Commission on Environment, Environmental Secretariat Systemaattisempi Integroitu johtamisjärjestelmä

Lisätiedot

missä on myös käytetty monisteen kaavaa 12. Pistä perustelut kohdilleen!

missä on myös käytetty monisteen kaavaa 12. Pistä perustelut kohdilleen! Matematiikan johdantokurssi Kertausharjoitustehtävien ratkaisuja/vastauksia/vihjeitä. Osoita todeksi logiikan lauseille seuraava: P Q (P Q). Ratkaisuohje. Väite tarkoittaa, että johdetut lauseet P Q ja

Lisätiedot

ENY-C2001 Termodynamiikka ja lämmönsiirto Luento 7 /

ENY-C2001 Termodynamiikka ja lämmönsiirto Luento 7 / ENY-C2001 Termodynamiikka ja lämmönsiirto Luento 7 / 31.10.2016 TERVETULOA! v. 02 / T. Paloposki Tämän päivän ohjelma: Virtaussysteemin energiataseen soveltamisesta Kompressorin energiantarve, tekninen

Lisätiedot

S BAB ABA A aas bba B bbs c

S BAB ABA A aas bba B bbs c T-79.148 Kevät 2003 Tietojenkäsittelyteorian perusteet Harjoitus 8 Demonstraatiotehtävien ratkaisut 4. Tehtävä: Laadi algoritmi, joka testaa onko annetun yhteydettömän kieliopin G = V, Σ, P, S) tuottama

Lisätiedot

c) 22a 21b x + a 2 3a x 1 = a,

c) 22a 21b x + a 2 3a x 1 = a, Tehtäviä on kahdella sivulla; kuusi ensimmäistä tehtävää on monivalintatehtäviä, joissa on 0 4 oikeata vastausta. 1. Lukion A ja lukion B oppilasmäärien suhde oli a/b vuoden 2017 lopussa. Vuoden 2017 aikana

Lisätiedot

T Syksy 2002 Tietojenkäsittelyteorian perusteet Harjoitus 8 Demonstraatiotehtävien ratkaisut

T Syksy 2002 Tietojenkäsittelyteorian perusteet Harjoitus 8 Demonstraatiotehtävien ratkaisut T-79.148 Syksy 2002 Tietojenkäsittelyteorian perusteet Harjoitus 8 Demonstraatiotehtävien ratkaisut 4. Tehtävä: Laadi algoritmi, joka testaa onko annetun yhteydettömän kieliopin G = V, Σ, P, S tuottama

Lisätiedot

Tentti erilaiset kysymystyypit

Tentti erilaiset kysymystyypit Tentti erilaiset kysymystyypit Kysymystyyppien kanssa kannatta huomioida, että ne ovat yhteydessä tentin asetuksiin ja erityisesti Kysymysten toimintatapa-kohtaan, jossa määritellään arvioidaanko kysymykset

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

Tämän lisäksi listataan ranskalaisin viivoin järjestelmän tarjoama toiminnallisuus:

Tämän lisäksi listataan ranskalaisin viivoin järjestelmän tarjoama toiminnallisuus: Dokumentaatio, osa 1 Tehtävämäärittely Kirjoitetaan lyhyt kuvaus toteutettavasta ohjelmasta. Kuvaus tarkentuu myöhemmin, aluksi dokumentoidaan vain ideat, joiden pohjalta työtä lähdetään tekemään. Kuvaus

Lisätiedot

Determinantti. Määritelmä

Determinantti. Määritelmä Determinantti Määritelmä Oletetaan, että A on n n-neliömatriisi Merkitään normaaliin tapaan matriisin A alkioita lyhyesti a ij = A(i, j) (a) Jos n = 1, niin det(a) = a 11 (b) Muussa tapauksessa n det(a)

Lisätiedot

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori kevät 2004 TP02S-D Tietokoneen rakenne: Harjoitustyö Motorola MC68030 -prosessori Työn valvojat: Seppo Haltsonen Pasi Lankinen RAPORTTI 13.5.2004 Sisällysluettelo sivu Tiivistelmä... 1 Lohkokaavio... 2

Lisätiedot

Matematiikka ja teknologia, kevät 2011

Matematiikka ja teknologia, kevät 2011 Matematiikka ja teknologia, kevät 2011 Peter Hästö 13. tammikuuta 2011 Matemaattisten tieteiden laitos Tarkoitus Kurssin tarkoituksena on tutustuttaa ja käydä läpi eräisiin teknologisiin sovelluksiin liittyvää

Lisätiedot