Synkronisten sekvenssipiirien suunnittelu

Koko: px
Aloita esitys sivulta:

Download "Synkronisten sekvenssipiirien suunnittelu"

Transkriptio

1 Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu

2 Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Johdanto Tässä luvussa esitetään synkronisen sekvenssipiirin suunnitteluvaiheet esitetään ASM-menetelmä, joka on synkronisten sekvenssipiirien järjestelmällinen suunnittelumenetelmä, ja sen työkalu ASM-kaavio käydään perusteellisesti läpi neljä synkronisen sekvenssipiirin suunnitteluesimerkkiä ASM-menetelmää käyttäen Mooren kone, jossa on vain kellotulo kaksi Mooren konetta, joissa on muitakin tuloja edellisistä viimeistä vastaava Mealyn kone esitetään toteutukset D-kiikkuja ja portteja käyttäen kuvataan toteutus T- ja JK-kiikkuja käyttäen (lisäsisältöä) esitetään kellosignaalin erilaisia käyttötapoja ja sekvenssipiirin alustus Luvun tavoitteena on opettaa suunnittelemaan synkroninen sekvenssipiiri ASM-menetelmää käyttäen

3 Digitaalitekniikka (piirit) Luku 6 Sivu 3 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisen sekvenssipiirin suunnitteluprosessi Määrittely sanallinen vuokaavio Suunnittelu päälohkokaavio piirin nimi signaalien nimet CLK ASM-kaavio tai tilakaavio joko HDL-kuvaus tai Simulointi Testaus tila- ja lähtötaulut lausekkeet piirikaavio H HJ JKS JKS EI HJK HJK 2 HJK 3 Kellojakso CLK D = Q + Q D = Q Q+ Q D2 = Q Q Q2 + Q Q Q2 = Q + Q = Q+ Q Q2 = Q Q2 + Q Q2 D D D2 Nykyinen tila Q2 Q Q Nykyinen tila Q2 Q Q D C D C D C Q Q Q Q Q2 Q2 Tulot Seuraava tila D2 D D Lähdöt & = & & & &

4 Digitaalitekniikka (piirit) Luku 6 Sivu 4 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo ASM-menetelmä Systemaattinen menetelmä synkronisten sekvenssipiirien suunnitteluun ASM = Algorithmic State Machine = tilakone = synkroninen sekvenssipiiri Menetelmän on esittänyt Christopher R. Clare Sopii Mooren kone- ja Mealyn kone -toteutuksiin Ei rajoita suunnittelutavan valintaa HDL-kuvaus ASM käsin tehtävä suunnittelu Ei rajoita toteutustavan valintaa kombinaatiopiirin toteutus tilarekisterissä käytettävä kiikkutyyppi Havainnollinen Menetelmän työkalu: ASM-kaavio (ASM chart) ASM-kaavio sisältää kaiken piirin suunnittelussa tarvittavan tiedon

5 Digitaalitekniikka (piirit) Luku 6 Sivu 5 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Jaskan kuppilan perusmainoksen määrittely Nykytilanne: UTTELE AN ILASSA Perus Uusi haluttu toimintatapa vuokaavioesityksenä: UTTELE UTTELE AN UTTELE AN ILASSA

6 Digitaalitekniikka (piirit) Luku 6 Sivu 6 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Perusmainoksen toteutusperiaate ja lohkokaavio Toteutusperiaate Tehdään 4-tilainen ASM, jossa kellojakso on. Kussakin tilassa ohjataan halutut mainoksen osat palamaan Koska tilasekvenssi on aina samanlainen, tarvitaan tulosignaaliksi vain kellosignaali Lohkokaavio Signaalien nimet? Kello,5,5 Hz Hz f = /T Kellojakso CLK Ohjain JKP JKP Lamput UTTELE AN ILASSA Perus

7 Digitaalitekniikka (piirit) Luku 6 Sivu 7 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? 2 ASM-kaavio: tilalohko ja lähtönuoli ASM Oma tilalohko (state box) jokaiselle ASM:n tilalle: tilan nimi, (state name) aktiiviset lähdöt ja tilakoodi (state code) Lähtönuoli (exit path) kuvaa siirtymisen nykyisestä tilasta seuraavaan tilaan Riittävät kuvaamaan ASM:n, jossa on vain kellosignaali Tilan nimi SN OUT OUT2 BBB ASM-lohko Tilakoodi = tilarekisterin tila = PS-signaalien arvot Lähtönuoli Tilalohko Tilassa aktiiviset lähtösignaalit OPER Esimerkki RUN NORM

8 Digitaalitekniikka (piirit) Luku 6 Sivu 8 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Perusmainoksen ASM-kaavio Perus Esittele perusmainos Vuokaavio ASM-kaavio UTTELE EI? 3 UTTELE AN UTTELE AN ILASSA H Lohkokaavio Kello,5,5 Hz Hz Kellojakso CLK Ohjain JKP JKP Lamput UTTELE AN ILASSA HJ HJK Kellojakso 2 s

9 Digitaalitekniikka (piirit) Luku 6 Sivu 9 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? 4 Tilakoodit Jokaiselle tilalle annetaan oma yksikäsitteinen tilakoodi Tilakoodi koostuu nollista ja ykkösistä Jos ASM:ssa on s tilaa, tilakoodissa on vähintään k bittiä niin, että 2 k s eli k log Kukin ASM:n tilarekisterin kiikku tallettaa yhden tilakoodin bitin eli kiikkuja on yhtä monta kuin tilakoodissa bittejä Kaksi peruskäytäntöä tilakoodin valinnassa perinteinen: minimimäärä bittejä minimimäärä kiikkuja, mutta mutkikas kombinaatiopiiri kuuma kiikku (one-hot): bittejä sama määrä kuin tiloja, biteistä yksi on ykkönen, muut nollia paljon kiikkuja, mutta usein yksinkertainen kombinaatiopiiri tässä opintojaksossa käytetään perinteistä menetelmää Tilakoodi EI H HJ HJK Kellojakso

10 Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Perusmainoksen tarkennettu lohkokaavio EI H HJ HJK Yksi kiikku / tilakoodin bitti CLK D D Kellojakso D C D C Tilarekisteri Q Q Q Q Perus Tilamuuttujat eli tilasignaalit eli nykyisen tilan signaalit (PS) Kombinaatiopiiri D D Seuraavan tilan signaalit (NS)

11 Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? 5 Perusmainoksen tilataulu ja lähtötaulu EI H HJ HJK Q Q Kellojakso Nykyinen tila Q Q Nykyinen tila Q Q Tilataulu Seuraava tila D D Lähtötaulu Lähdöt Tilataulu on seuraavan tilan signaalien totuustaulu ja lähtötaulu lähtösignaalien totuustaulu Perus

12 Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet Tilataulusta saadaan seuraavan tilan eli D-tulojen kytkentäfunktioiden lausekkeet Lausekkeet nähdään tässä tapauksessa suoraan tilataulusta, Karnaugh'n karttoja ei tarvita Nykyinen tila Q Q Seuraava tila D D Kytkentäfunktioiden lausekkeet: D = Q Q D = Q Perus

13 Digitaalitekniikka (piirit) Luku 6 Sivu 3 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Lähtösignaalien lausekkeet Lähtötaulusta saadaan lähtösignaalien kytkentäfunktioiden lausekkeet Lausekkeet nähdään tässä tapauksessa suoraan lähtötaulusta, Karnaugh'n karttoja ei tarvita? 6 Nykyinen tila Q Q Lähdöt Kytkentäfunktioiden lausekkeet: = Q + Q = Q = Q Q Perus

14 Digitaalitekniikka (piirit) Luku 6 Sivu 4 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Perusmainoksen kombinaatiopiirin piirikaavio D-tulojen ja lähtöjen lausekkeet toteutetaan porttipiireillä D = Q Q D D = Q Q = Q + Q = Q = Q Q Q Q = D & Perus

15 Digitaalitekniikka (piirit) Luku 6 Sivu 5 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Perusmainoksen piirikaavio Yhdistämällä kiikut ja kombinaatiopiiri saadaan koko perusmainoksen piirikaavio:? 7 CLK D D D C D Q Q Q = D C Perus &

16 Digitaalitekniikka (piirit) Luku 6 Sivu 6 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Jaskan kuppilan parannetun mainoksen määrittely Halutaan mahdollisuus yötoimintaan ja päivätoimintaan Kaksi erilaista toimintatapaa: valinta kytkimellä tulo ParM Vuokaavio UTTELE UTTELE AN UTTELE AN ILASSA Ei Yötoiminta? Kyllä

17 Digitaalitekniikka (piirit) Luku 6 Sivu 7 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Parannetun mainoksen toteutus ja lohkokaavio Toteutusperiaate Tehdään 4-tilainen ASM, jossa kellojakso on. Kussakin tilassa ohjataan halutut mainoksen osat palamaan Tulosignaalilla valitaan tilasekvenssi määrittelyn mukaisesti Lohkokaavio Tulosignaalin nimi ParM Kytkin Ohjain Lamput Kello,5,5 Hz Hz CLK JKPA JKPA UTTELE AN ILASSA Kellojakso

18 Digitaalitekniikka (piirit) Luku 6 Sivu 8 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo ASM-kaavio: tulosignaalien kuvaaminen Tulosignaali kuvataan päätöslohkolla (decision diamond) Kussakin tilassa on yksi päätöslohko jokaista tilanmuutokseen vaikuttavaa tulosignaalia kohti Päätöslohkot piirretään peräkkäin, ei rinnakkain Päätöslohkot kuuluvat aina siihen tilalohkoon, josta lähtevässä lähtönuolessa ne ovat kiinni, ei koskaan seuraavaan ASM? 8 SN BBB OUT OUT2 Esimerkki OPER RUN NORM Tulosignaali IN IN2 Päätöslohko SLOW FAST

19 ? 9 Digitaalitekniikka (piirit) Luku 6 Sivu 9 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Parannetun mainoksen ASM-kaavio Lohkokaavio Kytkin Ohjain Kello,5,5 Hz Hz Vuokaavio UTTELE UTTELE AN UTTELE AN ILASSA Ei Kyllä Yötoiminta? CLK JKPA JKPA Lamput UTTELE AN ILASSA ParM Esittele parannettu mainos EI H HJ HJK Tulosignaalin vaikutus Kellojakso ASM-kaavio

20 Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Parannetun mainoksen tarkennettu lohkokaavio EI ParM H HJ HJK CLK D D D C D C Tilarekisteri Q Q Q Q Kombinaatiopiiri D D Kellojakso

21 Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? Parannetun mainoksen tilataulu ja lähtötaulu EI H HJ HJK Nykyinen tila Q Q Kellojakso Tulo Seuraava tila D D Nykyinen tila Q Q ParM Tilataulussa on mukana myös tulosignaali Mooren koneen lähtötaulussa on vain nykyinen tila Lähdöt

22 Digitaalitekniikka (piirit) Luku 6 Sivu 22 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet? Tilataulusta saadaan seuraavan tilan eli D-tulojen Karnaugh n kartat Kartoista johdetaan kytkentäfunktioiden lausekkeet tilakiikkujen D-tuloille Karnaugh'n kartat: Nykyinen tila Q Q Tulo Seuraava tila D D D Q Q D Kytkentäfunktioiden lausekkeet: Q Q D = Q Q + Q Q + Q = Q Q + Q D = Q + Q ParM

23 Digitaalitekniikka (piirit) Luku 6 Sivu 23 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Lähtösignaalien lausekkeet Lähtötaulusta saadaan lähtösignaalien lausekkeet Lähtötaulu on sama kuin perusmainoksessa samat lausekkeet kuin perusmainoksessa Nykyinen tila Q Q Lähdöt Kytkentäfunktioiden lausekkeet: = Q + Q = Q = Q Q ParM

24 Digitaalitekniikka (piirit) Luku 6 Sivu 24 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Parannetun mainoksen kombinaatiopiirin piirikaavio D-tulojen ja lähtöjen lausekkeet toteutetaan porttipiireillä ParM D = Q + Q D = Q Q + Q = Q + Q = Q = Q Q Q Q Q & = D D &

25 Digitaalitekniikka (piirit) Luku 6 Sivu 25 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Parannetun mainoksen piirikaavio Yhdistämällä kiikut ja kombinaatiopiiri saadaan seuraava koko parannetun mainoksen piirikaavio:? 2 CLK D D D C D Q Q Q & = C ParM &

26 Digitaalitekniikka (piirit) Luku 6 Sivu 26 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Jaskan kuppilan supermainoksen määrittely Kolme erilaista toimintatapaa yötoiminta, päivätoiminta ja Happy Hour-toiminta valinta kahdella kytkimellä tulot ja Super Vuokaavio Ei Happy Happy Hour? Hour? Kyllä UTTELE UTTELE AN UTTELE AN ILASSA 6 s Ei Yötoiminta? Kyllä

27 Digitaalitekniikka (piirit) Luku 6 Sivu 27 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen toteutus ja lohkokaavio Toteutusperiaate Yleisperiaate sama kuin aiemmissakin mainoksen versioissa Voidaan toteuttaa joko Mooren koneena tai Mealyn koneena Mooren koneessa on enemmän tiloja, mutta elegantimpi toiminta Lohkokaavio Kytkimet Ohjain Lamput UTTELE JKS JKS AN Super Kello CLK,5,5 Hz Hz ILASSA Kellojakso

28 Digitaalitekniikka (piirit) Luku 6 Sivu 28 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen ASM-kaavio: Moore Vuokaavio Ei UTTELE Ei Lohkokaavio,5 Hz CLK Happy Hour? UTTELE AN JKS UTTELE AN UTTELE AN ILASSA Yötoiminta? Kyllä Kyllä ILASSA 6 s Super H HJ Esittele supermainos ASM - Mooren kone EI HJK HJK 3 Kolme tilasignaalia HJK 2 Kellojakso

29 Digitaalitekniikka (piirit) Luku 6 Sivu 29 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo CLK Supermainoksen lohkokaavio: Moore Tilarekisteri D D D C D Q Q Q C Q D2 D Q2 C Q2 Kombinaatiopiiri D D D2 Super H HJ EI HJK HJK 2 HJK 3 Kolme kiikkua Kellojakso

30 Digitaalitekniikka (piirit) Luku 6 Sivu 3 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen tilataulu: Moore Tilataulussa viisi muuttujaa 32 riviä Lyhennetään tilataulua käyttäen muuttujille hällävälejä Nykyinen tila Q2 Q Q Tulot Seuraava tila D2 D D Käyttämättömät tilat Super H HJ EI HJK HJK 2 HJK 3 Kellojakso

31 Digitaalitekniikka (piirit) Luku 6 Sivu 3 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen lähtötaulu: Moore Mooren koneessa tulot eivät vaikuta lähtöihin Käyttämättömissä tiloissa lähdöillä ei ole väliä Nykyinen tila Q2 Q Q Lähdöt Käyttämättömät tilat Super H HJ EI HJK HJK 2 HJK 3 Kellojakso

32 Digitaalitekniikka (piirit) Luku 6 Sivu 32 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet: Moore, D2 Tarvitaan viiden muuttujan Karnaugh'n kartta Jos tilataulun rivillä on tai :iä, rivi kuvautuu Nykyinen tila Q2 Q Q useaan kartan ruutuun Tulot Seuraava tila D2 D D D2 Karnaugh'n kartta, D2 Q2 = Q2 = Q Q D2 Lisä Super Q Q Kytkentäfunktion D2 lauseke: D2 = Q Q Q2 + Q Q Q2

33 Digitaalitekniikka (piirit) Luku 6 Sivu 33 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet: Moore, D Tarvitaan viiden muuttujan Karnaugh'n kartta Jos tilataulun rivillä on tai :iä, rivi kuvautuu Nykyinen tila Q2 Q Q useaan kartan ruutuun Tulot Seuraava tila D2 D D D Karnaugh'n kartta, D Q2 = Q2 = Q Q D Kytkentäfunktion D lauseke: = Q Q+ Q D= Q Q+ Q Q+ Q Lisä Super Q Q

34 Digitaalitekniikka (piirit) Luku 6 Sivu 34 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet: Moore, D Tarvitaan viiden muuttujan Karnaugh'n kartta Jos tilataulun rivillä on tai :iä, rivi kuvautuu Nykyinen tila Q2 Q Q useaan kartan ruutuun Tulot Seuraava tila D2 D D D Karnaugh'n kartta, D Q2 = Q2 = Q Q D Kytkentäfunktion D lauseke: D = Q + Q Lisä Super Q Q

35 Digitaalitekniikka (piirit) Luku 6 Sivu 35 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Lähtösignaalien lausekkeet: Mooren kone Lähtöjen Karnaugh'n kartat ja funktiot Lisä Q2 Q2 Nykyinen tila Q2 Q Q Lähdöt Q Q = Q + Q Q2 Q Q Q Q = Q + Q2 Super = Q2 + Q Q

36 Digitaalitekniikka (piirit) Luku 6 Sivu 36 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen lausekkeet ja piirikaavio: Moore CLK D = Q + Q D= Q Q+ Q D D D2 D2 = Q Q Q2 + Q Q Q2 D C D C D C Q Q Q Q Q2 Q2 & = & & = Q+Q = Q + Q2 = Q2 + Q Q Super &

37 Digitaalitekniikka (piirit) Luku 6 Sivu 37 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen toteutus Mealyn koneena Mealyn koneessa tulosignaalit voivat vaikuttaa suoraan lähtösignaaleihin Käyttämällä tätä ominaisuutta selvitään Supermainoksessakin nelitilaisella ASM:lla Annetaan tulosignaalin vaikuttaa suoraan lähtösignaaleihin Lähtöä, johon jokin tulo vaikuttaa suoraan, sanotaan ehdolliseksi lähdöksi (conditional output) Super

38 Digitaalitekniikka (piirit) Luku 6 Sivu 38 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo ASM-kaavio: ehdollisten lähtöjen kuvaaminen? 3 ASM-kaaviossa ehdollinen lähtö kuvataan ehdollisella lähtölohkolla (conditional output box) Ehdollinen lähtölohko on aina päätöslohkon perässä päätöslohkossa on se tulosignaali, josta lähtösignaali riippuu ehdollisessa lähtölohkossa on lähtösignaali SN Ehdollinen lähtö BBB OUT OUT2 IN OUT3 OPER Esimerkki Ehdollinen lähtölohko RUN SLOW FAST RAPID NORM ASM SLUGG

39 Digitaalitekniikka (piirit) Luku 6 Sivu 39 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen ASM-kaavio: Mealy Vuokaavio Ei UTTELE UTTELE AN Ei UTTELE AN ILASSA Lohkokaavio,5 Hz CLK Happy Hour? JKS Yötoiminta? Kyllä Esittele supermainos Kyllä UTTELE AN ILASSA 6 s EI H Ehdolliset lähdöt Super ASM - Mealyn kone HJK? 4 HJ

40 Digitaalitekniikka (piirit) Luku 6 Sivu 4 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen tilataulu: Mealy? 5 Tilataulussa neljä muuttujaa 6 riviä Lyhennetään tilataulua käyttäen muuttujille hällävälejä Nähdään, että seuraava tila ei riipu tulosta ; sen voisi jättää pois Nykyinen tila Q Q Tulot Seuraava tila D D EI H HJK HJ Super

41 Digitaalitekniikka (piirit) Luku 6 Sivu 4 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? 6 Supermainoksen lähtötaulu: Mealy Mealyn koneen lähtötaulussa tulot ovat mukana Lähtötaulussa neljä muuttujaa 6 riviä Lyhennetään lähtötaulua käyttäen muuttujille hällävälejä Nähdään, että lähdöt eivät riipu tulosta ; sen voisi jättää pois Nyk. tila Q Q Tulot Lähdöt EI H HJK HJ Super

42 Digitaalitekniikka (piirit) Luku 6 Sivu 42 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Seuraavan tilan funktioiden lausekkeet: Mealyn kone? 7 Jätetään tilataulusta muuttuja pois Nähdään, että tilataulu on sama kuin parannetun mainoksen tilataulu Seuraavan tilan signaaleille saadaan samat lausekkeet kuin parannetussa mainoksessa Nykyinen tila Q Q Tulot Seuraava tila D D D = Q Q + Q Q + Q = Q Q + Q D = Q + Q Nykyinen tila Q Q Tulo Super Seuraava tila D D

43 Digitaalitekniikka (piirit) Luku 6 Sivu 43 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Lähtöjen funktioiden lausekkeet: Mealyn kone Jätetään lähtötaulusta muuttuja pois Nyk. tila Q Q Tulo Lähdöt Super Q Q = Q + Q? 8 Q Q = Q + Q Q Q = Q Q + Q + Q

44 Digitaalitekniikka (piirit) Luku 6 Sivu 44 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Supermainoksen piirikaavio: Mealy Esittele Deeds-ASM & CLK D D D C D Q Q Q = C & &? 9 Super & &

45 Digitaalitekniikka (piirit) Luku 6 Sivu 45 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo T- ja JK-kiikkujen käyttö ASM-suunnittelussa Lisä Kiikkujen tilataulut ja muutostaulut T- tai JK-kiikkuja käytettäessä tilatauluun tarvitaan aiemmin esitettyä enemmän sarakkeita: T-tulon tai J- ja K-tulojen sarakkeet Ne saadaan muutostaulun avulla muutostaulusta nähdään, millä tulosignaaliarvo(i)lla siirrytään tietystä nykyisestä tilasta tiettyyn seuraavaan tilaan ASM T-kiikku T JK-kiikku JK Tilataulu Muutostaulu Tilataulu Muutostaulu T Q(t+) Q(t) Q(t+) T J K Q(t+) Q(t) Q(t+) J K Q(t) Q(t) Q(t) Q(t)

46 Digitaalitekniikka (piirit) Luku 6 Sivu 46 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Tilataulu T- tai JK-kiikkuja käytettäessä Lisä Supermainos, Mealyn kone Tilataulu, jossa ovat mukana T- ja JK-kiikkujen tulot T JK Nykyinen tila Tulo Seuraava tila T-kiikkujen tulot JK-kiikkujen tulot Q(t) Q(t) Q(t+) Q(t+) T T J K J K T- tai JK-kiikun tulosignaalien arvot saadaan muutostaulusta

47 Digitaalitekniikka (piirit) Luku 6 Sivu 47 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Kellosignaalin käyttötapa : ajastus ASM:n toiminnan ajastus Ainakin merkittävä osa tilanmuutoksista tapahtuu riippumatta tulosignaaleista Kellosignaalin taajuus antaa piirille toiminnan ajastuksen Kellosignaalin taajuuden arvo ja tarkkuus on yleensä tärkeä Sovelluksia Digitaalikello CLK Tiedon sarjamuotoinen siirto siirtojohdoissa (kupari tai kuitu) radioteitse Tietokoneen prosessorin ajastus Analogia-digitaali- ja digitaali-analogiamuunnos Ääni- ja videosignaalin käsittely ASM-kaavioesimerkki

48 Digitaalitekniikka (piirit) Luku 6 Sivu 48 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Kellosignaalin käyttötapa 2: odotus Käyttäjän toiminnan havaitseminen Käyttäjä voi olla ihminen tai esimerkiksi jokin prosessi tai ympäristö Piiri pysyy samassa tilassa, kunnes jokin sen tulosignaali muuttuu Kellosignaalin taajuuden arvo ja tarkkuus ei ole tärkeä, kunhan se vain on riittävän suuri Sovelluksia Ihmisen käyttämien laitteiden liitännät näppäimistön liitäntä painikkeiden ja kytkinten liitännät Toiminnan ohjaus ulkopuolisten herätteiden mukaisesti teolliset prosessit mekaaniset järjestelmät, CLK esim. robotit ASM-kaavioesimerkki

49 Digitaalitekniikka (piirit) Luku 6 Sivu 49 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo? 2 Kellosignaalin käyttötapa 3: yhdistelmä Käyttötapojen ja 2 yhdistelmä Piirin tila muuttuu osin tulosignaaleista riippumatta, osin niistä riippuen Piirissä on tilaketjuja Kellosignaalin taajuuden ja sen tarkkuuden merkitys riippuu tapauksesta Sovelluksia Hyvin monissa käytännön laitteissa Esimerkki: satunnaisuuden tekeminen pyöritään suurella kellotaajuudella tilojen kehässä käynnistetään, kun tulosignaali muuttuu pysäytetään, kun tulosignaali muuttuu tulosignaali(e)n muutosväli on satunnaissuure CLK ASM-kaavioesimerkki T T T T T T T = kiertää T = pysähtyy

50 Digitaalitekniikka (piirit) Luku 6 Sivu 5 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisen sekvenssipiirin alustus Synkroninen sekvenssipiiri alustetaan alustussignaalilla (reset signal) alustussignaali saadaan erityisestä alustuspiiristä tyypillisesti alustussignaali nollaa kaikki piirin kiikut alustussignaali viedään kiikkujen asynkronisiin nollaustuloihin alustussignaali on usein nollana aktiivinen alustuksella piiri pakotetaan tiettyyn alkutilaan kun laitteeseen kytketään sähkö kun valvontapiiri (watchdog) havaitsee virhetilanteen kun painetaan laitteessa olevaa alustuspainiketta RES Merkintä ASM-kaavioon nuoli alkutilaan nuolen päähän alustussignaalin nimi D CLK RES D C R ASM-kaavioesimerkki RES Q Q

51 Digitaalitekniikka (piirit) Luku 6 Sivu 5 (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Yhteenveto Synkronisen sekvenssipiirin suunnitteluprosessiin kuuluvat määrittely, suunnittelu, simulointi ja ja testaus ASM-menetelmä on on synkronisten sekvenssipiirien systemaattinen suunnittelumenetelmä, jonka jonka keskeinen työkalu työkalu on on ASM-kaavio ASM-kaavion elementit ovat ovat tilalohko, lähtönuoli, päätöslohko ja ja ehdollinen lähtölohko Jaskan Jaskan kuppilan perusmainoksessa on on tulosignaalina vain vain kellosignaali: siitä siitäesitetään vuokaavio, lohkokaavio, ASM-kaavio, tilojen tilojen nimeäminen, tila- tila-ja ja lähtötaulu, kombinaatiopiirin suunnittelu ja ja piirikaavio Jaskan Jaskan kuppilan parannetussa mainoksessa on onyksi muu muu tulosignaali: siitä siitäesitetään vuokaavio, lohkokaavio, ASM-kaavio, tila- tila-ja ja lähtötaulu, kombinaatiopiirin suunnittelu ja ja piirikaavio Mooren koneena Jaskan Jaskan kuppilan supermainoksessa on on kaksi kaksi muuta muuta tulosignaalia: siitä siitä esitetään Mooren ja ja Mealyn Mealyn koneena vuokaavio, lohkokaavio, ASM-kaavio, tila- tila-ja ja lähtötaulu,, kombinaatiopiirin suunnittelu ja ja piirikaavio Tilarekisteri voidaan toteuttaa myös myös T- T-tai tai JK-kiikuilla Kellosignaalilla on on kolme kolme käyttötapaa: ajastus, odotus odotusja ja näiden näiden yhdistelmä Synkroninen sekvenssipiiri alustetaan erityisellä alustussignaalilla

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (5) 1 Raitiovaunun oven avaamis- ja sulkemispiiri Raitiovaunun oven vieressä on matkustajan avauspainike. Kun vaunu on paikallaan, matkustajan avauspainikkeen painaminen antaa signaalin, joka avaa

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (6) 1 Jouluvalojen vilkutin Jouluvalojen vilkuttimessa on neljä sisäkkäistä lamppukehää ja kaksi kytkintä, joilla valitaan vilkuttimen toimintasekvenssi. Kummastakin kytkimestä saadaan yksi valintasignaali.

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Toiminnallinen määrittely versio 1.2

Toiminnallinen määrittely versio 1.2 Toiminnallinen määrittely versio 1.2 Ryhmä 2 Sami Luomansuu, 168128, sami.luomansuu@tut.fi Panu Sjövall, 205401, panu.sjovall@tut.fi VERSIOHISTORIA Versio Päiväys Tekijät Tehdyt muutokset 1.0 02.10.12

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

VLT 6000 HVAC vakiopaineen säädössä ja paine-erosäädössä. (MBS 3000, 0-10V)

VLT 6000 HVAC vakiopaineen säädössä ja paine-erosäädössä. (MBS 3000, 0-10V) VLT 6000 HVAC vakiopaineen säädössä ja paine-erosäädössä. (MBS 3000, 0-10V) 1 VLT 6000 HVAC Sovellusesimerkki 1 - Vakiopaineen säätö vedenjakelujärjestelmässä Vesilaitoksen vedenkysyntä vaihtelee runsaasti

Lisätiedot

PM10OUT2A-kortti. Ohje

PM10OUT2A-kortti. Ohje PM10OUT2A-kortti Ohje Dokumentin ID 6903 V3 13.4.2015 Sisällysluettelo Sisällysluettelo... 2 Esittely... 3 Kortti ja rekisterit... 3 Lähtöviestit... 4 Signaalien kytkeminen... 4 Käyttö... 4 Asetusten tekeminen...

Lisätiedot

Ohjelmistoradio. Mikä se on:

Ohjelmistoradio. Mikä se on: 1 Mikä se on: SDR = Software Defined Radio radio, jossa ohjelmisto määrittelee toiminnot ja ominaisuudet: otaajuusalue olähetelajit (modulaatio) olähetysteho etuna joustavuus, jota tarvitaan sovelluksissa,

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Kiertokysely. Sulautetut järjestelmät Luku 2 Sivu 1 (??)

Kiertokysely. Sulautetut järjestelmät Luku 2 Sivu 1 (??) Sulautetut järjestelmät Luku 2 Sivu 1 (??) Kiertokysely Perinteiset ohjelmointikielet kuten C tukevat hyvin sekventiaalista ohjelmointia, jossa herätteisiin reagointi on helppoa toteuttaa pollauksella

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

LABORATORIOTYÖ 2 A/D-MUUNNOS

LABORATORIOTYÖ 2 A/D-MUUNNOS LABORATORIOTYÖ 2 A/D-MUUNNOS 2-1 2. A/D-muunnos Työn tarkoitus Tässä työssä demotaan A/D-muunnoksen ominaisuuksia ja ongelmia. Tarkoitus on osoittaa käytännössä, miten bittimäärä ja näytteenottotaajuus

Lisätiedot

Avoimen luukun varoitussanomat. Toiminto

Avoimen luukun varoitussanomat. Toiminto Toiminto Kaikki kuorma-autot 1 on varustettu avoimien luukkujen varoitussanomien näyttötoiminnolla. Varoitussanomaa voidaan käyttää erityyppisille luukuille, joissa on anturi, joka ilmaisee, että luukku

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010 1/7 S-108.3020 Elektroniikan häiriökysymykset Laboratoriotyö, kevät 2010 Häiriöiden kytkeytyminen yhteisen impedanssin kautta lämpötilasäätimessä Viimeksi päivitetty 25.2.2010 / MO 2/7 Johdanto Sähköisiä

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Kytkentäkentät, luento 2 - Kolmiportaiset kentät

Kytkentäkentät, luento 2 - Kolmiportaiset kentät Kytkentäkentät, luento - Kolmiportaiset kentät Kolmiportaiset kytkentäkentät - esitystapoja ja esimerkkejä Kytkentäkenttien vertailuperusteet ƒ Estottomuus, looginen syvyys, ajokyky Closin -verkko Paull

Lisätiedot

ELEKTRONISET TOIMINNOT

ELEKTRONISET TOIMINNOT LUENTO 2 ALUKSI OLI... EHKÄ MIELENKIINTOISIN SUUNNITTELIJAN TEHTÄVÄ ON TOTEUTTAA LAITE (JA EHKÄ MENETELMÄKIN) JONKIN ONGELMAN RATKAISEMISEEN PUHTAALTA PÖYDÄLTÄ EI (AINAKAAN SAMALLA PERIAATTEELLA) VALMIITA

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Esimerkki 1: Kahviautomaatti.

Esimerkki 1: Kahviautomaatti. Esimerkki 1: Kahviautomaatti. ÄÄRELLISET AUTOAATIT JA SÄÄNNÖLLISET KIELET 2.1 Tilakaaviot ja tilataulut Tarkastellaan aluksi tietojenkäsittelyjärjestelmiä, joilla on vain äärellisen monta mahdollista tilaa.

Lisätiedot

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Digitaalitekniikan matematiikka Luku 13 Sivu 2 (10) Johdanto Tässä luvussa esitetään virheen havaitsevien ja korjaavien koodaustapojen perusteet ja käyttösovelluksia

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2 TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos TKT-3200 Tietokonetekniikka I Harjoitustyö 4: Cache, osa 2.. 2010 Ryhmä Nimi Op.num. 1 Valmistautuminen Cache-työn toisessa osassa

Lisätiedot

Signaalien datamuunnokset. Näytteenotto ja pito -piirit

Signaalien datamuunnokset. Näytteenotto ja pito -piirit Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

SISÄLLYS - DIGITAALITEKNIIKKA

SISÄLLYS - DIGITAALITEKNIIKKA SISÄLLYS - DIGITAALITEKNIIKKA Digitaalitekniikan perusteita...2 Bitti (bit)...2 Tavu (bytes)...2 Sana (word)...2 Yksiköt...2 Binääri järjestelmän laskutapa...2 Esimerkki: Digikuvan siirron kestoaika...2

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

ELEKTRONIIKAN PERUSTEET T700504

ELEKTRONIIKAN PERUSTEET T700504 ELEKTRONIIKAN PERUSTEET T700504 syksyllä 2014 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

LABORATORIOTYÖ 2 A/D-MUUNNOS

LABORATORIOTYÖ 2 A/D-MUUNNOS LABORATORIOTYÖ 2 A/D-MUUNNOS Päivitetty: 23/01/2009 TP 2-1 2. A/D-muunnos Työn tarkoitus Tässä työssä demotaan A/D-muunnoksen ominaisuuksia ja ongelmia. Tarkoitus on osoittaa käytännössä, miten bittimäärä

Lisätiedot

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A.

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A. Tehtävä. Tämä tehtävä on aineistotehtävä, jossa esitetään ensin tehtävän teoria. Sen jälkeen esitetään neljä kysymystä, joissa tätä teoriaa pitää soveltaa. Mitään aikaisempaa tehtävän aihepiirin tuntemusta

Lisätiedot

Harjoitustyö - Mikroprosessorit Liikennevalot

Harjoitustyö - Mikroprosessorit Liikennevalot Saku Chydenius tammikuu 2004 Asko Ikävalko Harjoitustyö - Mikroprosessorit Liikennevalot Työn valvoja: Kimmo Saurén RAPORTTI 1(8) 1. Alkuperäinen tehtävänanto 2. Määritelmä valojen vaihtumiselle Muodosta

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PDX DIN kiskokiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 4 numeroinen LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

Kaukovalojen ja lisäkaukovalojen vilkuttamisen kaukoaktivointi

Kaukovalojen ja lisäkaukovalojen vilkuttamisen kaukoaktivointi Kuvaus Kuvaus Yleistä Päällirakentaja voi käyttää tätä toimintoa valitessaan, kuinka kaukovalojen ja lisäkaukovalojen tulisi syttyä tai vilkkua. Ehdot Seuraavien ehtojen on täytyttävä, jotta toiminto voidaan

Lisätiedot

Muuttujien määrittely

Muuttujien määrittely Tarja Heikkilä Muuttujien määrittely Määrittele muuttujat SPSS-ohjelmaan lomakkeen kysymyksistä. Harjoitusta varten lomakkeeseen on muokattu kysymyksiä kahdesta opiskelijoiden tekemästä Joupiskan rinneravintolaa

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Asennus ja käyttöopas

Asennus ja käyttöopas Asennus ja käyttöopas EKRUCBS Asennus ja käyttöopas Suomi Sisällysluettelo Sisällysluettelo Käyttäjälle 2 1 Painikkeet 2 2 Tilakuvakkeet 2 Asentajalle 3 3 Yleiskuvaus: Asentaminen ja määrittäminen 3 4

Lisätiedot

Esimerkkejä vaativuusluokista

Esimerkkejä vaativuusluokista Esimerkkejä vaativuusluokista Seuraaville kalvoille on poimittu joitain esimerkkejä havainnollistamaan algoritmien aikavaativuusluokkia. Esimerkit on valittu melko mielivaltaisesti laitoksella tehtävään

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta.

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta. TYÖ 11. Operaatiovahvistin Operaatiovahvistin on mikropiiri ( koostuu useista transistoreista, vastuksista ja kondensaattoreista juotettuna pienelle piipalaselle ), jota voidaan käyttää useisiin eri kytkentöihin.

Lisätiedot

Tutustuminen tuotantolinjastoon

Tutustuminen tuotantolinjastoon Tutustuminen tuotantolinjastoon Hands-on harjoitus 1 1 Tehtävät 2 Tuotantolinjasto yleisesti 2.1 Asemien käsitteleminen (Kuva 1) 2.2 Tuotantolinjaston toiminta 3 Jakeluaseman kuvaus 4 Testausaseman kuvaus

Lisätiedot

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11.

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö Elektroniikan laboratoriotyö OPERAATIOVAHVISTIN Työryhmä Selostuksen kirjoitti 11.11.008 Kivelä Ari Tauriainen Tommi Tauriainen Tommi 1 TEHTÄVÄ Tutustuimme

Lisätiedot

Flash AD-muunnin. suurin kaistanleveys muista muuntimista (gigahertsejä) pieni resoluutio (max 8) kalliita

Flash AD-muunnin. suurin kaistanleveys muista muuntimista (gigahertsejä) pieni resoluutio (max 8) kalliita Flash AD-muunnin Flash AD-muunnin koostuu monesta peräkkäisestä komparaattorista, joista jokainen vertaa muunnettavaa signaalia omaan referenssijännitteeseensä. Referenssijännite aikaansaadaan jännitteenjaolla:

Lisätiedot

Kanava ylös / valikko ylös Kanava alas / valikko alas Laske äänenvoimakkuutta. Nosta äänenvoimakkuutta / valikon vahvistus

Kanava ylös / valikko ylös Kanava alas / valikko alas Laske äänenvoimakkuutta. Nosta äänenvoimakkuutta / valikon vahvistus Tuotetiedot Kytkennät Kytkentä VGA-TULO PC-ÄÄNITULO VGA-LÄHTÖ STEREO- LÄHTÖ TV-LÄHTÖ ANTENNI DC-TULO AUDIO-L-TULO AUDIO-R-TULO VIDEO-TULO Ohjauspaneeli S-VIDEO-TULO CH+/YLÖS CH-/ALAS VOL- VOL+/ENTER MENU/ESC

Lisätiedot

Suoritusten seuranta ja opiskelijan edistyminen

Suoritusten seuranta ja opiskelijan edistyminen Suoritusten seuranta ja opiskelijan edistyminen Opettaja voi halutessaan ottaa käyttöön toiminnon, jossa hän määrittelee etenemispolun opintojaksolle. Hän voi jokaisen aktiviteetin kohdalla määritellä

Lisätiedot

Tehtävä 2: Tietoliikenneprotokolla

Tehtävä 2: Tietoliikenneprotokolla Tehtävä 2: Tietoliikenneprotokolla Johdanto Tarkastellaan tilannetta, jossa tietokone A lähettää datapaketteja tietokoneelle tiedonsiirtovirheille alttiin kanavan kautta. Datapaketit ovat biteistä eli

Lisätiedot

EMC Säteilevä häiriö

EMC Säteilevä häiriö EMC Säteilevä häiriö Kaksi päätyyppiä: Eromuotoinen johdinsilmukka (yleensä piirilevyllä) silmulla toimii antennina => säteilevä magneettikenttä Yhteismuotoinen ei-toivottuja jännitehäviöitä kytkennässä

Lisätiedot

kertaa samat järjestykseen lukkarissa.

kertaa samat järjestykseen lukkarissa. Opetuksen toistuva varaus ryhmällee TY10S11 - Tästä tulee pitkä esimerkki, sillä pyrin nyt melko yksityiskohtaisesti kuvaamaan sen osion mikä syntyy tiedon hakemisesta vuosisuunnittelusta, sen tiedon kirjaamiseen

Lisätiedot

Kytkentäfunktioiden monimutkaisuuden alaraja, Copy-funktio, Itsereitittävyys

Kytkentäfunktioiden monimutkaisuuden alaraja, Copy-funktio, Itsereitittävyys Kytkentäfunktioiden monimutkaisuuden alaraja, Copy-funktio, tsereitittävyys Rka/ML -k99 Tiedonvälitystekniikka 12-1 Luentoaikataulu 18.2.99 Kytkentäkenttien monimutkaisuus, itsereitittävyys 25.2.99 Kytkentäkenttien

Lisätiedot

Mitä Uutta - SURFCAM V5.1 Sisällysluettelo

Mitä Uutta - SURFCAM V5.1 Sisällysluettelo VER CAD/CAM Software with world class precision and control... Mitä uutta Mitä Uutta - SURFCAM V5.1 Sisällysluettelo 1) Parannettu muistinhallinta 32 ja 64 bitin järjestelmissä 3 2) Konesimulointi Optio

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä

Lisätiedot

Algoritmit 2. Luento 8 To Timo Männikkö

Algoritmit 2. Luento 8 To Timo Männikkö Algoritmit 2 Luento 8 To 4.4.2019 Timo Männikkö Luento 8 Algoritmien analysointi Algoritmien suunnittelu Rekursio Osittaminen Rekursioyhtälöt Rekursioyhtälön ratkaiseminen Master-lause Algoritmit 2 Kevät

Lisätiedot

ASIC-suunnitteluvuo SystemC:stä piirikuviointiin

ASIC-suunnitteluvuo SystemC:stä piirikuviointiin ASIC-suunnitteluvuo SystemC:stä piirikuviointiin 20.6.2015 Demon suorittaminen Voit suorittaa koko suunnitteluvuon automaattisesti antamalla alla olevan komennon siinä hakemistossa, johon asensit suunnitteluvuon

Lisätiedot

VLT HVAC Drive. VLT HVAC Drive 102 pikaohjeita

VLT HVAC Drive. VLT HVAC Drive 102 pikaohjeita VLT HVAC Drive 102 pikaohjeita VLT HVAC Drive 102 pikaohjeita s. 1-4 1. VLT HVAC Drive 102 ohjaus ulkopuolisella säätimellä s. 5 4. Huomioitavaa asennuksessa 1. HVAC Drive 102 ohjaus ulkopuolisella säätimellä

Lisätiedot

Tehtävä 1. Vaihtoehtotehtävät.

Tehtävä 1. Vaihtoehtotehtävät. Kem-9.47 Prosessiautomaation perusteet Tentti.4. Tehtävä. Vaihtoehtotehtävät. Oikea vastaus +,5p, väärä vastaus -,5p ja ei vastausta p Maksimi +5,p ja minimi p TÄMÄ PAPERI TÄYTYY EHDOTTOMASTI PALAUTTAA

Lisätiedot

kokoaminen 1. Ota ASPER ajanottolaitteisto 1- kentän laatikosta ja siirrä kisakentälle 2. Kokoa yleisönäyttö sopivaa paikkaan ja vedä sille sähkö

kokoaminen 1. Ota ASPER ajanottolaitteisto 1- kentän laatikosta ja siirrä kisakentälle 2. Kokoa yleisönäyttö sopivaa paikkaan ja vedä sille sähkö pikaopas ASPER ajanoton kokoaminen 1. Ota ASPER ajanottolaitteisto 1- kentän laatikosta ja siirrä kisakentälle 2. Kokoa yleisönäyttö sopivaa paikkaan ja vedä sille sähkö Sähköjenkytkentästä oma kohta.

Lisätiedot

c) 22a 21b x + a 2 3a x 1 = a,

c) 22a 21b x + a 2 3a x 1 = a, Tehtäviä on kahdella sivulla; kuusi ensimmäistä tehtävää on monivalintatehtäviä, joissa on 0 4 oikeata vastausta. 1. Lukion A ja lukion B oppilasmäärien suhde oli a/b vuoden 2017 lopussa. Vuoden 2017 aikana

Lisätiedot

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma M-koodit Omron servojen ohjauksessa Tekijän nimi Ryhmätunnus Syventävä työ Jouni Lamminen EE01POS 4. vuosikurssin syventävä Luovutettu Hyväksytty

Lisätiedot

Ehdolliset lähtösignaalit. Toiminta. Käyttäytyminen

Ehdolliset lähtösignaalit. Toiminta. Käyttäytyminen Toiminta Toiminta Ehdollisia lähtösignaaleja on kolme (3), ja päällirakentaja voi käyttää niitä erilaisten päällirakennetoimintojen ja laitteiden ohjaamiseen. Käyttäytyminen Nämä 3 ehdollista lähtösignaalia

Lisätiedot

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja.

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja. Liikennevalot Laite koostuu Arduinokortista ja koekytkentälevystä. Liikennevalon toiminnat ohjelmoidaan Arduinolle. Ledit ja muut komponentit asetetaan koekytkentälevylle. Arduino toimii laitteen aivoina.

Lisätiedot