Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Koko: px
Aloita esitys sivulta:

Download "Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri"

Transkriptio

1 Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri

2 Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien rajoittuneisuus ja perustellaan sekvenssipiirien käyttötarve esitetään sekvenssipiirien yleiset ominaisuudet, lajit ja rakenteet kuvataan synkronisen sekvenssipiirin rakenne ja osat esitetään esimerkki synkronisesta sekvenssipiiristä ja sen toiminnan aikakaavio esitetään synkronisten sekvenssipiirien pääluokat ja niiden erot ja ominaisuudet lisäsisältönä esitetään asynkronisten tulojen vaikutus synkronisen sekvenssipiirin toimintaan Luvun tavoitteena on muodostaa yleiskäsitys sekvenssipiireistä, erityisesti synkronisista sekvenssipiireistä, pohjaksi synkronisten sekvenssipiirien suunnittelulle

3 Digitaalitekniikka (piirit) Luku 4 Sivu 3 (6).8.24 Fe/AKo Johdatus sekvenssipiireihin: proffamuistutin Proffamuistutin auttaa hajamielistä professoria muistamaan: ota mukaan sateenvarjo, kun sataa ota mukaan päällystakki, kun on pakkasta Proffamuistuttimessa on kolme anturia: sadeanturi pakkasanturi anturi, joka ilmoittaa, milloin ovimatolla seistään Proffamuistuttimessa on kaksi lamppua: sateenvarjolamppu päällystakkilamppu lamppu palaa, kun kyseinen esine on otettava mukaan ja ovimatolla seistään

4 Digitaalitekniikka (piirit) Luku 4 Sivu 4 (6).8.24 Fe/AKo Proffamuistuttimen lohkokaavio ja signaalit C COLD RAIN ONMAT PROFCNTR COAT UMBR

5 Digitaalitekniikka (piirit) Luku 4 Sivu 5 (6).8.24 Fe/AKo Proffamuistuttimen totuustaulu ja kytkentäfunktiot COLD RAIN ONMAT COAT UMBR PROFCNTR Sataa ja proffa matolla Pakkasta ja proffa matolla Sataa lunta ja proffa matolla COAT = COLD RAIN ONMAT + COLD RAIN ONMAT COAT = COLD ONMAT UMBR = COLD RAIN ONMAT C COLD RAIN ONMAT COAT UMBR

6 Digitaalitekniikka (piirit) Luku 4 Sivu 6 (6).8.24 Fe/AKo Proffamuistuttimen piirikaavio C COLD RAIN ONMAT PROFCNTR COAT UMBR COAT = COLD ONMAT UMBR = COLD RAIN ONMAT COLD ONMAT & COAT RAIN & UMBR

7 Digitaalitekniikka (piirit) Luku 4 Sivu 7 (6).8.24 Fe/AKo Parannettu proffamuistutin Proffan hajamielisyys lisääntyy Proffa unohtaa matolta poistuttuaan, mitä piti ottaa mukaan Syntyy vaarallinen värähtelytilanne: proffa tulee matolle: lamppu syttyy proffa lähtee hakemaan esinettä, siirtyy pois matolta proffa unohtaa esineen, palaa matolle... Parannuskeino: lamppu jää palamaan, vaikka proffa poistuukin matolta Lamppu palaa määräajan, jotta proffa voi vilkaista, mitä piti ottaa mukaan energiaa ei kuluteta proffan ollessa poissa kotoa Tällaista laitetta ei voida toteuttaa kombinaatiopiirillä, koska lähtösignaalien arvot riippuvat tulosignaalien nykyisistä arvoista tulosignaalien aiemmista arvoista eli historiasta???

8 Digitaalitekniikka (piirit) Luku 4 Sivu 8 (6).8.24 Fe/AKo, yleistä? Sekvenssipiirin lähtösignaalien arvot riippuvat piirin tilasta (state) Piirin tila riippuu piirin alkutilasta tulosignaalien historiasta Lähtösignaalien arvot voivat riippua myös tulosignaaleiden nykyisistä arvoista Sekvenssipiiri tallettaa ja muistaa oman tilansa Sekvenssipiirissä on sisäisiä takaisinkytkentöjä Kaksi päätyyppiä: asynkroniset sekvenssipiirit synkroniset sekvenssipiirit ASYNC IN SYNC Asynkroninen sekvenssipiiri muuttaa tilaansa tulosignaalin muuttuessa Synkroninen sekvenssipiiri muuttaa tilaansa yhden CLK tulosignaalin - kellosignaalin (clock signal) - tahdissa kellosignaali saadaan yleensä kello-oskillaattorista OUT

9 Digitaalitekniikka (piirit) Luku 4 Sivu 9 (6).8.24 Fe/AKo Kombinaatio- ja sekvenssipiirien rakenne Kombinaatiopiiri n m n Asynkroninen sekvenssipiiri m k Tulosignaalit Kellosignaali Synkroninen sekvenssipiiri n Lähtösign. Tilarekisteri Nykyinen tila k Kombinaatiopiiri Tulosign. Lähtösign. Kombinaatiopiiri Tulosign. Lähtösign. Takaisinkytkentäsignaalit Kombinaatiopiiri Takaisinkytkentäsignaalit m Seuraava tila k COMB ASYNC SYNC

10 Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Synkroniset sekvenssipiirit Tila talletettuna tilarekisteriin Tilanmuutokset kellosignaalin tahdissa Tulosignaalit Synkronisen sekvenssipiirin yleinen rakenne Lähtösignaalit? 2 CLK IN-INn Kellosignaali Kombinaatiopiirpiiri Tilarekisteri Nykyinen tila (present state) PS-PSk OUT-OUTm Seuraava tila (next state) NS-NSk

11 Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Synkronisen sekvenssipiirin rakenne? 3 Tilarekisteri toteutetaan kiikuilla Jokaiselle PS-signaalille on oma kiikku Tarvittavien kiikkujen määrä riippuu tilojen määrästä k kiikkua tiloja kaikkiaan 2 k Piirissä tarvitaan s tilaa kiikkuja tarvitaan vähintään k siten, että 2 k s eli k log 2 s IN n Kombi- m CLK naatio- Tila- PS piiri NS rekis- teri k k k kiikkua OUT Kombinaatiopiiri voidaan toteuttaa porttipiireillä, tulovalitsimella tai muilla kombinaatiopiirien toteutustavoilla Nykyään koko synkroninen sekvenssipiiri toteutetaan usein ohjelmoitavalla logiikkaverkolla sisältää kombinaatiopiirin toteuttamiseen tarvittavan osan sisältää tarvittavat kiikut

12 Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Esimerkki synkronisesta sekvenssipiiristä Piiri on valon vilkutin Lähtösignaalit L ja L2 ohjaavat kumpikin omaa lamppuaan Jos tulosignaali VUOR =, lamput vilkkuvat samassa tahdissa Jos tulosignaali VUOR =, lamput vilkkuvat vuorotellen Kellosignaali määrää vilkutuksen taajuuden Tulosignaali VUOR Kellosignaali CLK NS NS Tilarekisteri Tila- Nykyinen tila PS PS Kombi- Kombinaatiopiirnaatiopiiri Seuraava tila NS NS Esittele valon vilkutin Lähtösignaalit L L2

13 Digitaalitekniikka (piirit) Luku 4 Sivu 3 (6).8.24 Fe/AKo Signaalien aikakaavio ) Tilanmuutos 2) Lähdöt ja seur. tila VUOR CLK NS TR PS KP L L2 NS Tila NOL YKS KAK KOL NOL CLK? 4 PS PS VUOR NS NS L L2

14 Digitaalitekniikka (piirit) Luku 4 Sivu 4 (6).8.24 Fe/AKo Synkronisten sekvenssipiirien pääluokat Mooren kone Mealyn kone? 5 Vain piirin tila vaikuttaa lähtösignaaleihin Lähtösignaalit muuttuvat kellosignaalin tahdissa IN CLK Lähdöt muodostavakombinaatiopiirva Tilarekisteri PS NS OUT Tila ja tulosignaalit vaikuttavat lähtösignaaleihin Lähtösignaalit voivat muuttua kesken kellojakson IN CLK Vain Mealyn koneessa Lähdöt muodostavakombinaatiopiirva Tilarekisteri PS Seuraavan tilan muodostavakombinaatiopiirva Seuraavan tilan muodostavakombinaatiopiirva NS OUT

15 Asynkroniset tulot Digitaalitekniikka (piirit) Luku 4 Sivu 5 (6).8.24 Fe/AKo Tulot, jotka muuttuvat riippumatta kellosignaalista, ovat asynkronisia tuloja Käytännössä laitteen ulkoiset tulot ovat yleensä tällaisia Mooren koneessa ei vaikutusta Mealyn koneessa voivat aiheuttaa lähtösignaalien kellosignaalista riippumattomia muutoksia Asynkroniset tulot voidaan synkronoida synkronoivalla rekisterillä Lisä IN Synkronoiva INS noiva rekisteri Asynkronisia Kombinaatiopiirpiiri tuloja Synkronoituja tuloja CLK Tilarekisteri PS NS Tila- NS OUT

16 Digitaalitekniikka (piirit) Luku 4 Sivu 6 (6).8.24 Fe/AKo Yhteenveto Sekvenssipiirin lähtösignaalien arvot arvotriippuvat piirin piirinalkutilasta, tulosignaalien historiasta ja jatulosignaalien nykyarvoista Sekvenssipiirissä on on takaisinkytkentöjä Asynkroniset sekvenssipiirit muuttavat tilaansa tulosignaalien muuttuessa Synkroniset sekvenssipiirit muuttavat tilaansa kellosignaalin tahdissa Synkroninen sekvenssipiiri koostuu kombinaatiopiiristä ja jatilarekisteristä Piirin Piirintila tilaon on talletettu tilarekisteriin, joka jokaon on muodostettu kiikuista Kiikkujen lukumäärällä k saadaan aikaan aikaan2 k eri eritilaa Mooren koneessa vain vain nykytila vaikuttaa lähtösignaaleihin, Mealyn koneessa sekä sekänykytila että ettätulosignaalit Tulosignaalien asynkronisuudella ei eiole erityistä vaikutusta Mooren koneessa Mealyn Mealynkoneessa asynkroniset tulot tulotaiheuttavat lähtösignaalien kellosignaalista riippumattomia muutoksia

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (6) 1 Jouluvalojen vilkutin Jouluvalojen vilkuttimessa on neljä sisäkkäistä lamppukehää ja kaksi kytkintä, joilla valitaan vilkuttimen toimintasekvenssi. Kummastakin kytkimestä saadaan yksi valintasignaali.

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Käyttö- ja asennusohje

Käyttö- ja asennusohje V1.4 Käyttö- ja asennusohje Etäluentalaite ionsign Oy PL 246, Paananvahe 4, 26100 Rauma ionsign.fi, ionsign@ionsign.fi, p. 02 822 0097 Y-tunnus 2117449-9, VAT FI21174499 NEUTRON12-3G ETÄLUENTALAITE 1 Yleistä

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä? Miksi moniprosessorijärjestelmä? Laskentaa voidaan hajauttaa useammille prosessoreille nopeuden, modulaarisuuden ja luotettavuuden vaatimuksesta tai hajauttaminen voi helpottaa ohjelmointia. Voi olla järkevää

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (5) 1 Raitiovaunun oven avaamis- ja sulkemispiiri Raitiovaunun oven vieressä on matkustajan avauspainike. Kun vaunu on paikallaan, matkustajan avauspainikkeen painaminen antaa signaalin, joka avaa

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

UML -mallinnus TILAKAAVIO

UML -mallinnus TILAKAAVIO UML -mallinnus TILAKAAVIO SISÄLLYS 3. Tilakaavio 3.1 Tilakaavion alku- ja lopputilat 3.2 Tilan nimi, muuttujat ja toiminnot 3.3 Tilasiirtymä 3.4 Tilasiirtymän vai tilan toiminnot 3.5 Tilasiirtymän tapahtumat

Lisätiedot

AUTOMAATTIVÄLITTÄJÄ EAR 1000 / 2000 KÄYTTÖOHJE. Copyright Duratech Oy, Espoo EAR / ADRA KÄYTTÖOHJE V.3/2005.2

AUTOMAATTIVÄLITTÄJÄ EAR 1000 / 2000 KÄYTTÖOHJE. Copyright Duratech Oy, Espoo EAR / ADRA KÄYTTÖOHJE V.3/2005.2 AUTOMAATTIVÄLITTÄJÄ EAR 1000 / 2000 KÄYTTÖOHJE Copyright Duratech Oy, Espoo EAR / ADRA KÄYTTÖOHJE V.3/2005.2 1. JOHDANTO SISÄLLYSLUETTELO 1. JOHDANTO EAR 2000 on pieni itsenäinen automaattivälittäjälaite.

Lisätiedot

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona Varauspumppu-PLL Vaihevertailija vertaa kelloreunoja aikatasossa. Jos sisääntulo A:n taajuus on korkeampi tai vaihe edellä verrattuna sisääntulo B:hen, ulostulo A on ylhäällä ja ulostulo B alhaalla ja

Lisätiedot

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU OPINNÄYTETYÖ - AMMATTIKORKEAKOULUTUTKINTO TEKNIIKAN JA LIIKENTEEN ALA DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU T E K I J Ä : Toni Halonen SAVONIA-AMMATTIKORKEAKOULU OPINNÄYTETYÖ

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Käyttö- ja asennusohje

Käyttö- ja asennusohje v1.5 Käyttö- ja asennusohje Etäluentalaite ionsign Oy PL 246, Paananvahe 4, 26100 Rauma ionsign.fi, ionsign@ionsign.fi, p. 02 822 0097 Y-tunnus 2117449-9, VAT FI21174499 NEUTRON12-3G ETÄLUENTALAITE 1 Yleistä

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

ELEKTRONISET TOIMINNOT

ELEKTRONISET TOIMINNOT LUENTO 2 ALUKSI OLI... EHKÄ MIELENKIINTOISIN SUUNNITTELIJAN TEHTÄVÄ ON TOTEUTTAA LAITE (JA EHKÄ MENETELMÄKIN) JONKIN ONGELMAN RATKAISEMISEEN PUHTAALTA PÖYDÄLTÄ EI (AINAKAAN SAMALLA PERIAATTEELLA) VALMIITA

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

ELEKTRONIIKAN PERUSTEET T700504

ELEKTRONIIKAN PERUSTEET T700504 ELEKTRONIIKAN PERUSTEET T700504 syksyllä 2014 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Televerkon synkronointi

Televerkon synkronointi Televerkon synkronointi ITU-T:n suositukset G.810, G.811, G.812, G.823 Rka/ML -k2002 Tiedonvälitystekniikka 5a - 1 Kurssin kuva välitysjärjestelmästä H.323 or SIP IP SIP or ISUP PABX CAS, R2 ISDN Kytkentäkenttä

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

Käyttö- ja asennusohje

Käyttö- ja asennusohje V1.5 Käyttö- ja asennusohje Etäluentalaite ionsign Oy PL 246, Paananvahe 4, 26100 Rauma ionsign.fi, ionsign@ionsign.fi, p. 02 822 0097 Y-tunnus 2117449-9, VAT FI21174499 NEUTRON4 ETÄLUENTALAITE 1 Yleistä

Lisätiedot

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori kevät 2004 TP02S-D Tietokoneen rakenne: Harjoitustyö Motorola MC68030 -prosessori Työn valvojat: Seppo Haltsonen Pasi Lankinen RAPORTTI 13.5.2004 Sisällysluettelo sivu Tiivistelmä... 1 Lohkokaavio... 2

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

797 E. matematiikka. Martti Heinonen Markus Luoma Leena Mannila Kati Rautakorpi-Salmio Timo Tapiainen Tommi Tikka Timo Urpiola

797 E. matematiikka. Martti Heinonen Markus Luoma Leena Mannila Kati Rautakorpi-Salmio Timo Tapiainen Tommi Tikka Timo Urpiola 797 E matematiikka Martti Heinonen Markus Luoma Leena Mannila Kati Rautakorpi-Salmio Timo Tapiainen Tommi Tikka Timo Urpiola Helsingissä Kustannusosakeyhtiö Otava 24 Ongelmanratkaisu yhtälön avulla Yhtälön

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

Pikaohje Aplisens APIS type 1X0 ja 2XO

Pikaohje Aplisens APIS type 1X0 ja 2XO Pikaohje Aplisens APIS type 1X0 ja 2XO Koivupuistontie 26, 01510, Vantaa www.saato.fi, sales@saato.fi, 09-759 7850 Sisällys 1. Yleistä...3 2. Parametritilan toiminnot...4 3. Käyttöönotto pikaohje...5 1.

Lisätiedot

Hegetest Wire Detector Pulssitesteri

Hegetest Wire Detector Pulssitesteri Hegetest Wire Detector Pulssitesteri Toiminta- ja käyttöohje: Hegetest Wire Detector on uusi laite johtimien tutkimiseen. Tällä laitteella voit yhdellä kytkennällä todeta kaapelista kuusi sen eri tilaa:

Lisätiedot

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu 2 (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdanto

Lisätiedot

CO 2 -anturi. Langaton. Käyttöohje. -fi. Englannin kielestä käännetty asiakirja 2014-04-22 A001

CO 2 -anturi. Langaton. Käyttöohje. -fi. Englannin kielestä käännetty asiakirja 2014-04-22 A001 CO 2 -anturi Langaton Englannin kielestä käännetty asiakirja -fi 2014-04-22 A001 Sisällysluettelo 1 Tuotteen kuvaus... 1 1.1 CO 2-mittaus... 1 2 Asennusohjeet... 2 3 Käyttöliittymän kuvaus... 3 3.1 Liitännät...

Lisätiedot

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15)

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) A = a = i i w i Digitaalitekniikan matematiikka Luku 12 Sivu 2 (15) Johdanto Tässä luvussa esitetään kymmenjärjestelmän lukujen eli BCD-lukujen esitystapoja

Lisätiedot

EMC Säteilevä häiriö

EMC Säteilevä häiriö EMC Säteilevä häiriö Kaksi päätyyppiä: Eromuotoinen johdinsilmukka (yleensä piirilevyllä) silmulla toimii antennina => säteilevä magneettikenttä Yhteismuotoinen ei-toivottuja jännitehäviöitä kytkennässä

Lisätiedot

Multivibraattorit. Bistabiili multivibraattori:

Multivibraattorit. Bistabiili multivibraattori: Multivibraattorit Elektroniikan piiri jota käytetään erilaisissa kahden tason systeemeissä kuten oskillaattorit, ajastimet tai kiikkut. Multivibraattorissa on vahvistava elementtti ja ristiinkytketyt rvastukset

Lisätiedot

Kosteusanturi Langaton

Kosteusanturi Langaton Kosteusanturi Langaton Englannin kielestä käännetty asiakirja -fi 2014-04-22 A001 Sisällysluettelo 1 Tuotteen kuvaus... 1 1.1 Kosteusmittaus... 1 2 Asennusohjeet... 2 3 Käyttöliittymän kuvaus... 2 3.1

Lisätiedot

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta.

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta. TYÖ 11. Operaatiovahvistin Operaatiovahvistin on mikropiiri ( koostuu useista transistoreista, vastuksista ja kondensaattoreista juotettuna pienelle piipalaselle ), jota voidaan käyttää useisiin eri kytkentöihin.

Lisätiedot

4B. Tasasuuntauksen tutkiminen oskilloskoopilla.

4B. Tasasuuntauksen tutkiminen oskilloskoopilla. TURUN AMMATTIKORKEAKOULU TYÖOHJE 1 4B. Tasasuuntauksen tutkiminen oskilloskoopilla. Teoriaa oskilloskoopista Oskilloskooppi on laite, joka muuttaa sähköisen signaalin näkyvään muotoon. Useimmiten sillä

Lisätiedot

ASIC-suunnitteluvuo SystemC:stä piirikuviointiin

ASIC-suunnitteluvuo SystemC:stä piirikuviointiin ASIC-suunnitteluvuo SystemC:stä piirikuviointiin 20.6.2015 Demon suorittaminen Voit suorittaa koko suunnitteluvuon automaattisesti antamalla alla olevan komennon siinä hakemistossa, johon asensit suunnitteluvuon

Lisätiedot

Käyttö- ja asennusohje

Käyttö- ja asennusohje v1.1 Käyttö- ja asennusohje ionsign Oy PL 246, Paananvahe 4, 26100 Rauma ionsign.fi, ionsign@ionsign.fi, p. 02 822 0097 Y-tunnus 2117449-9, VAT FI21174499 NEUTRON4-3G ETÄLUENTALAITE 1 Yleistä Neutron 4-3G

Lisätiedot

Johdatus digitaalitekniikkaan

Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Johdatus digitaalitekniikkaan Johdanto

Lisätiedot

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 2 (57) Harjoitustyön 2 tavoitteet opitaan piirisuunnitteluprosessin vaiheita opitaan

Lisätiedot

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 TRIFITEK FINLAND OY 2012 V1.0 1. OHJELMISTO; ASENTAMINEN, KÄYTTÖ 1.1 Ohjelmiston asentaminen tietokoneeseen, Ajurin asentaminen Laitteen mukana toimitetaan muistitikulla

Lisätiedot

Rubikin kuutio ja ryhmät. Johanna Rämö Helsingin yliopisto, Matematiikan ja tilastotieteen laitos

Rubikin kuutio ja ryhmät. Johanna Rämö Helsingin yliopisto, Matematiikan ja tilastotieteen laitos Rubikin kuutio ja ryhmät Johanna Rämö Helsingin yliopisto, Matematiikan ja tilastotieteen laitos Kehittäjä unkarilainen Erno Rubik kuvanveistäjä ja arkkitehtuurin professori 1974 Halusi leikkiä geometrisilla

Lisätiedot

ELEKTRONIIKAN PERUSTEET T320003

ELEKTRONIIKAN PERUSTEET T320003 ELEKTRONIIKAN PERUSTEET T320003 syksyllä 2013 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

Lukittuminen. Suljettu silmukka

Lukittuminen. Suljettu silmukka Lukittuminen Suljettu silmukka Lähtien tilanteesta, jossa > ja ( ) =0. Hetken ajan se tuottaa silmukkasuodattimen ulostuloon positiivisen jännitteen v olp, joka kasvattaa oskillaattorin lähtötaajuutta

Lisätiedot

Kontrollilaitteet. Arsenaali

Kontrollilaitteet. Arsenaali Arsenaali Kontrollilaitteet Tietokonepeleissä käytettäviä kontrollilaitteita on valtava määrä Kaikilla alustoilla, joilla pelejä pelataan on jokin vakio kontrolleri PC: Hiiri ja näppäimistö Konsolit: Controller

Lisätiedot

Logiikan rakenteen lisäksi kaikilla ohjelmoitavilla logiikoilla on myös muita yhteisiä piirteitä.

Logiikan rakenteen lisäksi kaikilla ohjelmoitavilla logiikoilla on myös muita yhteisiä piirteitä. Automaatio KYTKENTÄ INFORMAATIOTA 1 KOHTA1: KERRATTAVA MATERIAALISSA OLEVA SIEMENS SIMATIC S7CPU212 TUNNISSA TUTUKSI MONISTE ERITYISESTI LOGIIGAN TULO JA LÄHTÖ LIITTIMIEN JA LIITÄNTÖJEN OSALTA TÄSSÄ TULEE

Lisätiedot

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki Ohjelmoitava päävahvistin WWK-951 Käyttöohje Anvia TV Oy Rengastie 10 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 3 3. Painikkeet...

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Ohjelmoitava päävahvistin WWK-951LTE

Ohjelmoitava päävahvistin WWK-951LTE Ohjelmoitava päävahvistin WWK-951LTE Käyttöohje Finnsat Oy Yrittäjäntie 15 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 4 4. Vahvistimen

Lisätiedot

Harjoitustyö - Mikroprosessorit Liikennevalot

Harjoitustyö - Mikroprosessorit Liikennevalot Saku Chydenius tammikuu 2004 Asko Ikävalko Harjoitustyö - Mikroprosessorit Liikennevalot Työn valvoja: Kimmo Saurén RAPORTTI 1(8) 1. Alkuperäinen tehtävänanto 2. Määritelmä valojen vaihtumiselle Muodosta

Lisätiedot

c) 22a 21b x + a 2 3a x 1 = a,

c) 22a 21b x + a 2 3a x 1 = a, Tehtäviä on kahdella sivulla; kuusi ensimmäistä tehtävää on monivalintatehtäviä, joissa on 0 4 oikeata vastausta. 1. Lukion A ja lukion B oppilasmäärien suhde oli a/b vuoden 2017 lopussa. Vuoden 2017 aikana

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PSX DIN kisko kiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 3 numeron LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

EUROOPAN YHTEISÖ. Lähetys-/Vientimaan 1 ILMOITUS A LÄHETYS-/VIENTITOIMIPAIKKA. 2 Lähettäjä/Viejä Nro. C 3 Lomakenro BIS.

EUROOPAN YHTEISÖ. Lähetys-/Vientimaan 1 ILMOITUS A LÄHETYS-/VIENTITOIMIPAIKKA. 2 Lähettäjä/Viejä Nro. C 3 Lomakenro BIS. Kollit 2 Lähettäjä/Viejä Nro Merkit numerot Konttien numerot Lukumäärä laji 3 Lomake 1 A LÄHETYS-/VIENTITOIMIPAIKKA 33 Tavaran 40 Yleisilmoitus/Edeltävä asiakir Kollit Merkit numerot Konttien numerot Lukumäärä

Lisätiedot

KYTKENTÄOHJEET. MicroMax370

KYTKENTÄOHJEET. MicroMax370 KYTKENTÄOHJEET ROTAATIOLÄMMÖNVAIHTIMEN OHJAUSYKSIKKÖ MicroMax370 Tarkistettu 04-12-13 1.1 F21037902FI Valmistajan seloste Valmistajan vakuutus siitä, että tuote on EMC-DIREKTIIVIN 89/336/EEG ja sen lisäysten

Lisätiedot

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA!

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e Yleistä opintojaksosta Laajuus op = 8 h, kokonaan syyslukukauden

Lisätiedot

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11.

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö Elektroniikan laboratoriotyö OPERAATIOVAHVISTIN Työryhmä Selostuksen kirjoitti 11.11.008 Kivelä Ari Tauriainen Tommi Tauriainen Tommi 1 TEHTÄVÄ Tutustuimme

Lisätiedot

Peliohjelmointi: Kontrollilaitteet. Teppo Soininen

Peliohjelmointi: Kontrollilaitteet. Teppo Soininen Peliohjelmointi: Kontrollilaitteet Teppo Soininen Lähteet: Core Techniques and Algorithms in Game Programming, MSDN, www.xbox.com, www.playstation.com Arsenaali Tietokonepeleissä käytettäviä kontrollilaitteita

Lisätiedot

KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010

KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010 1 KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010 NÄPPÄIMISTÖ: Ohjelman valinta Asetukset /nollaus Ajastimen valinta (Ei vielä käytössä) Ohjaus oikealle / lisää asetusta / ajastimen käynnistys Seis / valinnan vahvistus

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot