Sekvenssipiirin tilat

Koko: px
Aloita esitys sivulta:

Download "Sekvenssipiirin tilat"

Transkriptio

1 igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava piiri, kun näyttö näyttää vuorotellen sykkeen, ruumiinlämmön, veren alapaineen ja veren yläpaineen. Joulukoriste, jossa on kymmenen lamppua. Yksi lampuista kerrallaan palaa. Seurapelin digitaalinen tiimalasi, joka näyttää jäljellä olevat minuutit ja sekunnit ja alkaa arvosta 2 min

2 igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä 2 Synkroninen sekvenssipiiri Vastaa seuraaviin kysymyksiin: IN NS Tilarekisteri PS Kombinaatiopiiri OUT NS Mitkä ovat synkronisen sekvenssipiirin osat? Mitkä signaalit vaikuttavat tilarekisterin tilanmuutokseen? Mistä signaaleista lähtösignaalit riippuvat? Onko välttämättä oltava muita tulosignaaleja kuin kellosignaali? Mikä merkitys kellosignaalilla on? Määrääkö kellosignaali piirin seuraavan tilan? Kombinaatiopiiri ja tilarekisteri Seuraava tila NS ja kellosignaali Nykyisestä tilasta PS ja ehkä tulosignaaleista IN Ei Se määrää, milloin tilarekisterin tila PS muuttuu Ei, se riippuu vain seuraavan tilan signaaleista NS

3 igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä 3 Tilarekisterin kiikkujen lukumäärä Yhdistä oikeat luvut viivoilla. Tilojen määrä Kiikkujen määrä

4 igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä 4 Tilanmuutokset Vastaa seuraaviin kysymyksiin. Mikä signaali määrää nykyisen tilan (PS) muutoksen ajankohdan? VUOR NS TR PS KP Tila YKS KAK L L2 NS Tilanmuutoksessa YKS KAK PS muuttuu ja PS. Miksi? Tilanmuutoksessa YKS KAK vain toinen signaaleista L ja L2 muuttuu. Miksi? Ennen muutosta NS = ja NS =. PS ja PS saavat NS:n ja NS:n arvot. VUOR =. Siirrytään valojen vuorottelutoimintaan. PS PS VUOR NS NS L L2

5 igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä 5 Mooren kone ja Mealyn kone Vastaa seuraaviin kysymyksiin. Voiko tulosignaalin muutos aiheuttaa lähtösignaalin välittömän muutoksen Mooren koneessa? Voiko lähtösignaali muuttua kesken kellojakson Mealyn koneessa? Riippuuko nykyinen tila tulosignaaleista Mealyn koneessa? Vaikuttaako kellosignaalin taajuus siihen, miten nopeasti lähtösignaalit voivat muuttua Mooren koneessa? Ei voi Voi Ei riipu Vaikuttaa IN Lähdöt muodostava kombinaatiopiiri OUT Vain Mealyn koneessa IN Lähdöt muodostava kombinaatiopiiri OUT Tilarekisterteri PS Seuraavan tilan muodostava kombinaatiopiiri NS Tilarekisterteri PS Seuraavan tilan muodostava kombinaatiopiiri NS

6 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä SR-salpa Vastaa seuraaviin kysymyksiin. S R Tila Ei muutu Nollattu Asetettu Kielletty Millä signaalilla SR-salpa nollataan? SR-salpa asetetaan viisi kertaa peräkkäin nollaamatta sitä välillä. Mikä on -signaalin arvo viidennen asetuksen jälkeen? Mikä S- ja R-tulojen yhdistelmä on kielletty? Montako bittiä tietoa yhteen SR-salpaan voidaan tallettaa? Missä SR-salpoja käytetään suuria määriä? R-signaalilla =. Asetusten lukumäärä ei vaikuta :n arvoon S = R = Yksi bitti Nopeissa muistipiireissä

7 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 2 -salpa Alla on esitetty -salvan piirrosmerkki ja aikakaavio. Täydennä ne. Käytä apuna oheista -salvan toimintakaaviota. Tila X Ei muutu Nollattu Asetettu C

8 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 3 -kiikku C C 2 Mikä signaali määrää -kiikun seuraavan tilan? -signaali Milloin piirrosmerkin mukaisen -kiikun tila muuttuu? Milloin piirrosmerkin 2 mukaisen -kiikun tila muuttuu? Montako bittiä tietoa yhteen -kiikkuun voidaan tallettaa? Mihin käyttöön -kiikku sopii hyvin? Kellosignaalin nousevalla reunalla Kellosignaalin laskevalla reunalla Yksi bitti Synkronisen sekvenssipiirin tilarekisteriin

9 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 4 T-kiikku Täydennä alla esitetty nousevalla reunalla liipaistavan T-kiikun piirrosmerkki ja aikakaavio. Käytä apuna oheista T-kiikun toimintakaaviota. T (t+) Tila (t) Ei muutu (t) Vaihtuu T T C T

10 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 5 JK-kiikku Vastaa seuraaviin kysymyksiin. Käytä apunasi oheista JK-kiikun toimintakaaviota. Millä kytkennällä JK-kiikusta saadaan T- kiikku? Entä millä -kiikku (tarvitaan yksi lisäpiiri)? Minkä arvon annat J- ja K-tulolle, kun haluat, että kiikku asettuu seuraavan kellopulssin nousevalla reunalla? Millainen on laskevalla reunalla liipaistavan JK-kiikun piirrosmerkki? J K (t+) Tila (t) Ei muutu Nollautuu Asettuu (t) Vaihtuu Yhdistetään J ja K. T = J = K J =, K = J C K J C K

11 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 6 Kiikun ajoitusparametrit Esitä kysytyt ajat nanosekunteina kaavion perusteella. Asettumisaika 3 ns Pitoaika 2 ns Etenemisviive 9 ns Asettumisaika Pitoaika Etenemisviive Saa muuttua t su t h Saa muuttua t pd t/ns 5 5

12 igitaalitekniikka (piirit) Luku 2 Täsmätehtävä Tehtävä 7 Asynkroninen asetus ja nollaus Alla on esitetty asynkronisesti nollattavan -kiikun piirrosmerkki ja osittain täytetty toimintakaavio. Täydennä toimintakaavio. R Piirrosmerkki C R R Toimintakaavio X X

13 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä Vilkuttimen määrittely ja lohkokaavio Vilkuttimessa VILK on kaksi tilaa. Toisessa niistä vilkuttimen lamppu palaa ( = ), toisessa ei. Tila vaihtuu kellosignaalin tahdissa. Kellosignaalin taajuus on Hz. Laadi vilkuttimen vuokaavio ja lohkokaavio. Vuokaavio Lohkokaavio s VILK VILK Lamppu palaa palaa s Kellojakso s

14 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 2 Tilalohko ja lähtönuoli Laadi tilalohko ja lähtönuolet, kun tilasta tiedetään seuraavat asiat: Tilan nimi on VALO Tilassa lähtösignaali on aktiivinen Tilakoodi on OPER RUN NORM VALO

15 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 3 ASM-kaavio, vain kellotulo Laadi ASM-kaavio oheisen vuo- ja lohkokaavion mukaiselle vilkuttimelle. Vuokaavio ASM-kaavio s Lamppu palaa palaa s EIV Lohkokaavio VALO VILK VILK Kellojakso s Kellojakso s

16 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 4 Tilakoodit Anna vilkuttimen tiloille tilakoodit. Käytä perinteistä koodausta eli minimoi kiikkujen lukumäärä. ASM-kaavio EIV VALO Kellojakso s

17 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 5 Tilataulu ja lähtötaulu Täydennä vilkuttimen tilataulu ja lähtötaulu. Tilataulu ASM-kaavio Nykyinen tila Seuraava tila EIV VALO Lähtötaulu Nykyinen tila Lähtö Kellojakso s

18 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 6 Seuraavan tilan lauseke Johda vilkuttimen tilataulusta seuraavan tilan lauseke. Tilataulu Nykyinen tila Seuraava tila =

19 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 7 Lähdön lauseke Johda vilkuttimen lähtötaulusta lähdön lauseke. Lähtötaulu Nykyinen tila Lähtö =

20 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 8 Piirikaavio Piirrä vilkuttimen piirikaavio. Käytä siinä oheisen kuvan mukaista -kiikkua. C = = C

21 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 9 Päätöslohko Vilkuttimen toiminta voidaan estää tulosignaalilla ESTO. Tällöin vilkuttimen lamppu ei pala. Piirrä vastaava päätöslohko ja siihen - ja -haara. SLOW ESTO

22 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä Estettävän vilkuttimen ASM-kaavio Laadi estotoiminnalla varustetun vilkuttimen ASM-kaavio tilakoodeineen. Vuokaavio Toiminta estetty? Ei Lamppu palaa palaa ESTO Lohkokaavio ESVILK s Kyllä s EIV VALO ESTO Kellojakso s Kellojakso s

23 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä Estettävän vilkuttimen tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen tila- ja lähtötaulu. ASM-kaavio Tilataulu EIV ESTO Nykyinen tila Tulo ESTO Seuraava tila VALO Kellojakso s Lähtötaulu Nykyinen tila Lähtö

24 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 2 Seuraavan tilan lauseke Esitä estotoiminnalla varustetun vilkuttimen seuraavan tilan funktion lauseke. Muokkaa sitä niin, että saat funktion toteutetuksi yhdellä portilla. Tilataulu Nykyinen tila Tulo ESTO Seuraava tila = ESTO = + ESTO

25 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 3 Estettävän vilkuttimen piirikaavio Piirrä estotoiminnalla varustetun vilkuttimen piirikaavio. Käytä tilarekisterinä kuvan mukaista -kiikkua. C = + ESTO = ESTO C

26 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 4 Ehdollinen lähtölohko Estotoiminnalla varustetussa vilkuttimessa halutaan, että ESTO-signaalin arvo estää lähtösignaalin heti, eli pakottaa sen arvoon. Piirrä tähän tarvittava päätöslohko ja ehdollinen lähtölohko sekä niihin liittyvät lähtönuolet. FAST RAPI NORM ESTO

27 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 5 Estettävä vilkutin Mealyn koneena Muokkaa estotoiminnalla varustetun vilkuttimen Mooren kone -toteutuksen ASM-kaaviosta Mealyn kone -toteutuksen ASM-kaavio niin, että ESTOsignaalin arvo sammuttaa lampun heti. EIV EIV ESTO VALO VALO ESTO Kellojakso s Kellojakso s

28 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 6 Estettävän vilkuttimen yhdistetty tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen yhdistetty tila- ja lähtötaulu. EIV Tila- ja lähtötaulu VALO ESTO Nykyinen tila Tulo ESTO Seuraava tila Lähtö Kellojakso s

29 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 7 Estettävän vilkuttimen tila- ja lähtösignaali (Mealy) Esitä Mealyn koneena toteutetun estotoiminnalla varustetun vilkuttimen seuraavan tilan ja lähtösignaalin lauseke. Muokkaa lähtösignaalin lauseketta niin, että voit toteuttaa funktion yhdellä portilla. Tila- ja lähtötaulu Nykyinen tila Tulo ESTO Seuraava tila Lähtö = + ESTO = ESTO = + ESTO

30 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 8 Estettävän vilkuttimen piirikaavio (Mealy) Piirrä estotoiminnalla varustetun Mealyn koneena toteutetun vilkuttimen piirikaavio. Käytä tilarekisterissä oheista -kiikkua. C = + ESTO ESTO C = + ESTO ESTO tai C

31 igitaalitekniikka (piirit) Luku 3 Täsmätehtävä Tehtävä 9 Kellosignaalin käyttö Kellosignaalin käyttötavat ovat ) ajastus, 2) odotus ja 3) niiden yhdistelmä. Mitä tapaa käyttäisit seuraavissa sovelluksissa: Taskulaskimen näppäimistön liitäntäpiiri 2 Tietokoneen keskusyksikön ohjauslogiikka Näppäinlukko 2 Hedelmäpelin ohjauslogiikka 3 igitaalikellon ajan laskentapiiri

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (5) 1 Raitiovaunun oven avaamis- ja sulkemispiiri Raitiovaunun oven vieressä on matkustajan avauspainike. Kun vaunu on paikallaan, matkustajan avauspainikkeen painaminen antaa signaalin, joka avaa

Lisätiedot

Harjoitustyön 2 aiheiden kuvaukset

Harjoitustyön 2 aiheiden kuvaukset Sivu 1 (6) 1 Jouluvalojen vilkutin Jouluvalojen vilkuttimessa on neljä sisäkkäistä lamppukehää ja kaksi kytkintä, joilla valitaan vilkuttimen toimintasekvenssi. Kummastakin kytkimestä saadaan yksi valintasignaali.

Lisätiedot

Taitaja2004/Elektroniikka Semifinaali 19.11.2003

Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Teoriatehtävät Nimi: Oppilaitos: Ohje: Tehtävät ovat suurimmaksi osaksi vaihtoehtotehtäviä, mutta tarkoitus on, että lasket tehtävät ja valitset sitten

Lisätiedot

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki Ohjelmoitava päävahvistin WWK-951 Käyttöohje Anvia TV Oy Rengastie 10 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 3 3. Painikkeet...

Lisätiedot

Ohjelmoitava päävahvistin WWK-951LTE

Ohjelmoitava päävahvistin WWK-951LTE Ohjelmoitava päävahvistin WWK-951LTE Käyttöohje Finnsat Oy Yrittäjäntie 15 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 4 4. Vahvistimen

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

Vianmääritys. Paperinkäsittely. Huolto. Vianmääritys. Ylläpito. Hakemisto

Vianmääritys. Paperinkäsittely. Huolto. Vianmääritys. Ylläpito. Hakemisto Jos pyydät Lexmarkin asiakastukikeskukselta apua tulostusongelman ratkaisemisessa, sieltä saatetaan ohjata sinut vianmääritystilan avulla muuttamaan oletusasetuksia (esimerkiksi ottamaan käyttöön PPDS-tiedostojen

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

AU Automaatiotekniikka. Funktio FC

AU Automaatiotekniikka. Funktio FC AU080401 Automaatiotekniikka Funktio FC Tarkoitus Dokumentissa kuvataan, mikä on funktio miksi funktioita kannattaa käyttää milloin funktioita kannattaa käyttää mitä asioita on huomioitava funktiota luotaessa

Lisätiedot

Multivibraattorit. Bistabiili multivibraattori:

Multivibraattorit. Bistabiili multivibraattori: Multivibraattorit Elektroniikan piiri jota käytetään erilaisissa kahden tason systeemeissä kuten oskillaattorit, ajastimet tai kiikkut. Multivibraattorissa on vahvistava elementtti ja ristiinkytketyt rvastukset

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

Käyttäjän käsikirja WTN radiomajakka. Version: FIN180427

Käyttäjän käsikirja WTN radiomajakka. Version: FIN180427 Version: FIN180427 Käyttäjän 1 käsikirja Sisällys 1 WTN-Radiomajakka Näytöt ja näppäimet... 3 2 Käynnistys ja toiminta-aika... 4 2.1 WTN-laitteen käynnistys... 4 2.2 WTN-laitteen sammutus... 4 2.3 Virransyöttö:...

Lisätiedot

5.3 Ensimmäisen asteen polynomifunktio

5.3 Ensimmäisen asteen polynomifunktio Yllä olevat polynomit P ( x) = 2 x + 1 ja Q ( x) = 2x 1 ovat esimerkkejä 1. asteen polynomifunktioista: muuttujan korkein potenssi on yksi. Yleisessä 1. asteen polynomifunktioissa on lisäksi vakiotermi;

Lisätiedot

AU Automaatiotekniikka. Toimilohko FB

AU Automaatiotekniikka. Toimilohko FB AU080401 Automaatiotekniikka Toimilohko FB Tarkoitus Dokumentissa kuvataan, mikä on toimilohko (FB) miten toimilohko muodostetaan ja miten sitä sovelletaan S7 ohjelmointiympäristössä (STEP7) mitä etua

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Lightwriter SL40 Connect: Askeltaminen

Lightwriter SL40 Connect: Askeltaminen Lightwriter SL40 Connect: Askeltaminen Käyttöohje SL40 SCAN Tämä on Lightwriter SL40 Connect -malleihin asennetun askelluspaketin käyttöohje. Päivitykset ja lisäykset ovat osoitteessa www.toby-churchill.com.

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11.

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö Elektroniikan laboratoriotyö OPERAATIOVAHVISTIN Työryhmä Selostuksen kirjoitti 11.11.008 Kivelä Ari Tauriainen Tommi Tauriainen Tommi 1 TEHTÄVÄ Tutustuimme

Lisätiedot

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro:

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro: KILPAILIJAN TEHTÄVÄT Kilpailijan nimi / Nro: Tehtävän laatinut: Hannu Laurikainen, Deltabit Oy Kilpailutehtävä Kilpailijalle annetaan tehtävässä tarvittavat ohjelmakoodit. Tämä ohjelma on tehty laitteen

Lisätiedot

Vehicle Security System VSS3 - Alarm system remote

Vehicle Security System VSS3 - Alarm system remote Vehicle Security System VSS3 - Alarm system remote Hälytysjärjestelmän kauko-ohjain Asetusopas - Finnish Arvoisa asiakas Tässä oppaassa on tietoja ja ohjeita siitä, miten eräitä toimintoja otetaan käyttöön

Lisätiedot

Elotec Ajax. Aloitus

Elotec Ajax. Aloitus Elotec Ajax Aloitus Onnittelut uudelle Elotec Ajax järjestelmällesi! Tervetuloa uuden sukupolven hälytysjärjestelmän pariin. Uusi langaton teknologia mahdollistaa etäisyyksiä jopa 2000metriin saakka avoimissa

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata.

Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata. 1 Tulostaulun käyttöohje 1. Yleistä Konsolin näytössä näkyy käytettäessä ohjaavia viestejä, joita kannattaa tämän ohjeen lisäksi seurata. Näytön alapuolella olevilla A, B, C jne. painikkeilla voi valita

Lisätiedot

ABT PÖYTÄVAAKA PAKVAAKA1

ABT PÖYTÄVAAKA PAKVAAKA1 ABT PÖYTÄVAAKA PAKVAAKA1 SISÄLLYSLUETTELO 1. Toiminnot 3 2. Asennus 3 3. Varotoimenpiteet 3 4. LCD-näyttö 4 5. Näppäimet 5 6. Toimintojen asettaminen 5 7. Punnitusyksikön vaihtaminen 6 8. Vikakoodit 7

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

Vehicle Security System VSS3 - Vehicle original remote

Vehicle Security System VSS3 - Vehicle original remote Vehicle Security System VSS3 - Vehicle original remote Ajoneuvon alkuperäinen kaukosäädinavain Asetusopas - Finnish Arvoisa asiakas Tässä oppaassa on tietoja ja ohjeita siitä, miten eräitä toimintoja otetaan

Lisätiedot

MONIKANAVAISET OHJELMOITAVAT VAHVISTIMET

MONIKANAVAISET OHJELMOITAVAT VAHVISTIMET DIGITAALIAJAN RATKAISUT DVB-T - Tuotteet PROFILER-SARJA MONIKANAVAISET OHJELMOITAVAT VAHVISTIMET Selektiivisesti vahvistetut kanavaniput digitaalisille ja analogisille signaaleille. Helposti ohjelmointipyörällä

Lisätiedot

ELEKTRONIIKAN PERUSTEET T700504

ELEKTRONIIKAN PERUSTEET T700504 ELEKTRONIIKAN PERUSTEET T700504 syksyllä 2014 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

15 Opetussuunnitelma OSAAMISEN ARVIOINTI ARVIOINNIN KOHTEET JA AMMATTITAITOVAATIMUKSET OSAAMISEN HANKKIMINEN. osaa: työskentely

15 Opetussuunnitelma OSAAMISEN ARVIOINTI ARVIOINNIN KOHTEET JA AMMATTITAITOVAATIMUKSET OSAAMISEN HANKKIMINEN. osaa: työskentely Hyväksymismerkinnät 1 (5) Näytön kuvaus Tutkinnonosan suorittaja osoittaa osaamisensa ammattiosaamisen näytössä tekemällä tutkinnon osan ammattitaitovaatimusten mukaisia työtehtäviä työkohteissa. Työtä

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Suoran yhtälöt. Suoran ratkaistu ja yleinen muoto: Suoran yhtälö ratkaistussa, eli eksplisiittisessä muodossa, on

Suoran yhtälöt. Suoran ratkaistu ja yleinen muoto: Suoran yhtälö ratkaistussa, eli eksplisiittisessä muodossa, on Suoran htälöt Suoran ratkaistu ja leinen muoto: Suoran htälö ratkaistussa, eli eksplisiittisessä muodossa, on ANALYYTTINEN GEOMETRIA MAA5 = k + b, tai = a missä vakiotermi b ilmoittaa suoran ja -akselin

Lisätiedot

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2 TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos TKT-3200 Tietokonetekniikka I Harjoitustyö 4: Cache, osa 2.. 2010 Ryhmä Nimi Op.num. 1 Valmistautuminen Cache-työn toisessa osassa

Lisätiedot

Tehtävä 2: Tietoliikenneprotokolla

Tehtävä 2: Tietoliikenneprotokolla Tehtävä 2: Tietoliikenneprotokolla Johdanto Tarkastellaan tilannetta, jossa tietokone A lähettää datapaketteja tietokoneelle tiedonsiirtovirheille alttiin kanavan kautta. Datapaketit ovat biteistä eli

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

SaSun VK1-tenttikysymyksiä 2019 Enso Ikonen, Älykkäät koneet ja järjestelmät (IMS),

SaSun VK1-tenttikysymyksiä 2019 Enso Ikonen, Älykkäät koneet ja järjestelmät (IMS), SaSun VK1-tenttikysymyksiä 2019 Enso Ikonen, Älykkäät koneet ja järjestelmät (IMS), 5.2.2019 Tentin arvosteluperusteita: o Kurssin alku on osin kertausta SäAn ja prosessidynamiikkakursseista, jotka oletetaan

Lisätiedot

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja.

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja. Liikennevalot Laite koostuu Arduinokortista ja koekytkentälevystä. Liikennevalon toiminnat ohjelmoidaan Arduinolle. Ledit ja muut komponentit asetetaan koekytkentälevylle. Arduino toimii laitteen aivoina.

Lisätiedot

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Nimi: Pisteet: Koulu: Lue liitteenä jaettu artikkeli Solar Lamp (Elector Electronics 9/2005) ja selvitä itsellesi laitteen toiminta. Tätä artikkelia

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona Varauspumppu-PLL Vaihevertailija vertaa kelloreunoja aikatasossa. Jos sisääntulo A:n taajuus on korkeampi tai vaihe edellä verrattuna sisääntulo B:hen, ulostulo A on ylhäällä ja ulostulo B alhaalla ja

Lisätiedot

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia Sami Haapanala syksy 2013 Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia, 3 op 9 luentoa, 3 laskuharjoitukset ja vierailu mittausasemalle Tentti Oppikirjana Rinne & Haapanala:

Lisätiedot

Toimintaperiaate: 2. Kytke virta vastaanottimeen käyttämällä virtalaitetta, jossa on merkintä "horsealarm receiver only".

Toimintaperiaate: 2. Kytke virta vastaanottimeen käyttämällä virtalaitetta, jossa on merkintä horsealarm receiver only. Toimintaperiaate: 1. Kytke virta toistimeen käyttämällä virtalaitetta, jossa on merkintä "RadioLink only". Kun virta on kytketty toistimeen, laitteen vihreä valo välähtää. 2. Kytke virta vastaanottimeen

Lisätiedot

Pikaopas. Näppäimet. Kortinlukija. Järjestelmäasetukset-valintaikkuna C D. A Sivut Aktivoi aloitussivu painamalla tätä painiketta

Pikaopas. Näppäimet. Kortinlukija. Järjestelmäasetukset-valintaikkuna C D. A Sivut Aktivoi aloitussivu painamalla tätä painiketta Näppäimet Kortinlukija D ELITE Ti 2 Pikaopas Sivut ktivoi aloitussivu painamalla tätä painiketta D Zoomaus Reittipiste Virta Zoomaa kuvaa painamalla tätä painiketta Kun kumpaakin painiketta painetaan yhtä

Lisätiedot

Toiminnallinen määrittely versio 1.2

Toiminnallinen määrittely versio 1.2 Toiminnallinen määrittely versio 1.2 Ryhmä 2 Sami Luomansuu, 168128, sami.luomansuu@tut.fi Panu Sjövall, 205401, panu.sjovall@tut.fi VERSIOHISTORIA Versio Päiväys Tekijät Tehdyt muutokset 1.0 02.10.12

Lisätiedot

EMC Säteilevä häiriö

EMC Säteilevä häiriö EMC Säteilevä häiriö Kaksi päätyyppiä: Eromuotoinen johdinsilmukka (yleensä piirilevyllä) silmulla toimii antennina => säteilevä magneettikenttä Yhteismuotoinen ei-toivottuja jännitehäviöitä kytkennässä

Lisätiedot

c) 22a 21b x + a 2 3a x 1 = a,

c) 22a 21b x + a 2 3a x 1 = a, Tehtäviä on kahdella sivulla; kuusi ensimmäistä tehtävää on monivalintatehtäviä, joissa on 0 4 oikeata vastausta. 1. Lukion A ja lukion B oppilasmäärien suhde oli a/b vuoden 2017 lopussa. Vuoden 2017 aikana

Lisätiedot

PM10OUT2A-kortti. Ohje

PM10OUT2A-kortti. Ohje PM10OUT2A-kortti Ohje Dokumentin ID 6903 V3 13.4.2015 Sisällysluettelo Sisällysluettelo... 2 Esittely... 3 Kortti ja rekisterit... 3 Lähtöviestit... 4 Signaalien kytkeminen... 4 Käyttö... 4 Asetusten tekeminen...

Lisätiedot

KUORMANILMAISIN / NOSTURIVAAKA. Käyttöohje

KUORMANILMAISIN / NOSTURIVAAKA. Käyttöohje KUORMANILMAISIN / NOSTURIVAAKA Sisällysluettelo Versio A 1. Johdanto... 1 Huomautus... 1 Turvallisuusohjeet... 1 2. Tekniset tiedot... 2 Ominaisuudet... 2 Tekniset tiedot... 3 Kapasiteetti ja tarkkuus...

Lisätiedot

I. Rannekkeen esittely

I. Rannekkeen esittely I. Rannekkeen esittely II. Osat Ⅲ. Aloitus Sovelluksen (application) asennus iphone: etsi 'H Band' App Storesta ja lataa se. Android: etsi 'H Band' Google Play Storesta ja lataa se. Bluetooth-yhteys Vaihe

Lisätiedot

KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010

KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010 1 KÄYTTÖOHJE HIRVIMATIC (2V0) 5.5.2010 NÄPPÄIMISTÖ: Ohjelman valinta Asetukset /nollaus Ajastimen valinta (Ei vielä käytössä) Ohjaus oikealle / lisää asetusta / ajastimen käynnistys Seis / valinnan vahvistus

Lisätiedot

Näyttöresoluution säätäminen

Näyttöresoluution säätäminen Näyttöresoluution säätäminen Nestekidenäyttöteknologian (LCD) luonteen mukaisesti kuvaresoluutio on aina kiinteä. Näytön parhaan suorituskyvyn takaamiseksi aseta näyttö sen suurimpaan mahdolliseen resoluutioon,

Lisätiedot

Harjoitustyö - Mikroprosessorit Liikennevalot

Harjoitustyö - Mikroprosessorit Liikennevalot Saku Chydenius tammikuu 2004 Asko Ikävalko Harjoitustyö - Mikroprosessorit Liikennevalot Työn valvoja: Kimmo Saurén RAPORTTI 1(8) 1. Alkuperäinen tehtävänanto 2. Määritelmä valojen vaihtumiselle Muodosta

Lisätiedot

Ohjelmoitava magneettivastuksellinen kuntopyörä. LCD-Näyttö BC-81295

Ohjelmoitava magneettivastuksellinen kuntopyörä. LCD-Näyttö BC-81295 Ohjelmoitava magneettivastuksellinen kuntopyörä LCD-Näyttö BC-81295 Yhteenveto Tämä on tarkoitettu ainoastaan ohjelmoitavaan magneettivastukselliseen kuntopyörään. Järjestelmään kuuluu kolme (3) osaa:

Lisätiedot

Eye Pal Solo. Käyttöohje

Eye Pal Solo. Käyttöohje Eye Pal Solo Käyttöohje 1 Eye Pal Solon käyttöönotto Eye Pal Solon pakkauksessa tulee kolme osaa: 1. Peruslaite, joka toimii varsinaisena lukijana ja jonka etureunassa on laitteen ohjainpainikkeet. 2.

Lisätiedot

ANALOGINEN KVARTSIKELLO Cal. 7T86

ANALOGINEN KVARTSIKELLO Cal. 7T86 SEIKO NLOGINEN KVRTSIKELLO Cal. 7T86 KÄYTTÖOHJE Olet nyt analogisen SEIKO 7T86 kvartsikellon ylpeä omistaja. Optimi suorituskyvyn varmistamiseksi, tutustu näihin ohjeisiin huolella ennen kellon käyttöä.

Lisätiedot

Yhdistä kodinkoneesi tulevaisuuteen. Pikaopas

Yhdistä kodinkoneesi tulevaisuuteen. Pikaopas Yhdistä kodinkoneesi tulevaisuuteen. Pikaopas Kotisi tulevaisuus alkaa nyt! Hienoa, että käytät Home Connect -sovellusta * Onneksi olkoon käytät tulevaisuuden kahviautomaattia, joka jo tänään helpottaa

Lisätiedot

TiiMi Talotekniikka. LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL. v. 1.0

TiiMi Talotekniikka. LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL. v. 1.0 TiiMi Talotekniikka LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL v. 1.0 TiiMi Lattialämmitys on monipuolinen vesikiertoisen lattialämmityksen säätöjärjestelmä jota voidaan soveltaa myös sähköiseen lattialämmitykseen.

Lisätiedot

VDV II PRO käyttöohje

VDV II PRO käyttöohje VDV II PRO käyttöohje VAROITUS! Älä liitä laitetta yli 60VAC kaapeliin. VDV I I PRO testeri voi vahingoittua ja aiheuttaa vaaran käyttäjälle. HUOM! Huonosti päätetty tai vahingoittunut liitin voi vahingoittaa

Lisätiedot

Asennusopas. Huomautus. Observit RSS

Asennusopas. Huomautus. Observit RSS Asennusopas Observit RSS Versio 5.3 Huomautus Tämä opas on uusia asennuksia varten. Axis-kamerapaketti sisältää käytettävän lehtisen. Jos sinulla on kysyttävää, ota yhteyttä tukeen: +46 60 61 67 50. Tarjoaja:

Lisätiedot

Meilahden Rantapuisto

Meilahden Rantapuisto Paciuksenkaari 2 A 1 2h+kk+työh On 114,50 103,00 1 Kyllä (Kone Oy) Paciuksenkaari 2 A 2 2h+kk On 52,00 51,86 1 Kyllä (Kone Oy) Paciuksenkaari 2 A 3 ryhmäkoti On 2 Kyllä (Kone Oy) Paciuksenkaari 2 A 4 2h+k

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PSX DIN kisko kiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 3 numeron LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

Agent-D -sarja. Tilauskoodi: Agent-D-HT2 sis. Agent-D-Clip-S -anturi Agent-D-HT2-EX (EX-Zone 1) sis. Agent-D-Clip-S -anturi

Agent-D -sarja. Tilauskoodi: Agent-D-HT2 sis. Agent-D-Clip-S -anturi Agent-D-HT2-EX (EX-Zone 1) sis. Agent-D-Clip-S -anturi Agent-D -sarja Agent-D -sarjan kosteus ja/tai lämpötila -loggerit -arja on monipuolinen data-loggeriperhe omalla LCD-näytöllä kosteuden ja lämpötilan mittaamiseen joko integroidulla ja/tai kaapelilla kytketyllä

Lisätiedot

Signaalien datamuunnokset. Näytteenotto ja pito -piirit

Signaalien datamuunnokset. Näytteenotto ja pito -piirit Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja

Lisätiedot