ASIC-suunnitteluvuo SystemC:stä piirikuviointiin

Koko: px
Aloita esitys sivulta:

Download "ASIC-suunnitteluvuo SystemC:stä piirikuviointiin"
  • Ari Aho
  • 8 vuotta sitten
  • Katselukertoja:

Transkriptio

1 ASIC-suunnitteluvuo SystemC:stä piirikuviointiin Demon suorittaminen Voit suorittaa koko suunnitteluvuon automaattisesti antamalla alla olevan komennon siinä hakemistossa, johon asensit suunnitteluvuon tiedostot: csh run_asic_demo.csh Tämä csh komentotiedosto suorittaa kaikki vuon ohjelmat peräkkäin. Huomaa seuraavat seikat: Jotkut ohjelmat eivät ehkä vastaa komentoihin suorittaessaan käskyjä, joten pysy kärsivällisenä. Kun kunkin ohjelman komentotiedoston suoritus on lopussa, se avaa ohjelman tuottamat raportit tekstieditoriin. Kun olet lopettanut raporttien tutkimisen, sulje tekstieditori. Suunnitelma jää ohjelman muistiin, joten voit tutkia sitä käyttämällä ohjelman käyttöliittymän komentoja. Kun olet valmis jatkamaan, sulje ohjelma File valikosta. Saatat joskus joutua kirjoittamaan exit tai quit komennon. Suunnitteluvuon suorittaminen vaihe kerrallaan Voit suorittaa vuon käynnistämällä jokaisen ohjelman erikseen seuraavien ohjeiden mukaisesti. SystemC-mallin simulointi Piirin "kultainen malli" on kirjoitettu SystemC kielellä. Mallia voidaan simuloida kääntämällä lähdekooditiedostot suoritettavaksi ohjelmaksi, tai käyttämällä QuestaSim simulaattoria. Tätä varten on käytettävissä SystemC testipenkki. Myöhemmin malli voidaan sijoittaa referenssimallina SystemVerilog testipenkkiin, jota käytetään piirin RTL mallin varmentamiseen. Voit kääntää tiedostot g++ kääntäjällä seuraavalla komennolla: make f scripts/makefile.sc Simuloi mallia antamalla seuraava komento: make f scripts/makefile.sc sim Simulointitulokset tulostetaan näytölle tekstimuodossa.. Käytä seuraavaa komentoa mallin simuloimiseen QuestaSm simulaattorissa: 1

2 vsim do scripts/1_vsim_systemc_simulation.tcl Voit tarkastella simulointituloksia simulaattorin Wave ikkunassa. QuestaSimin Wave ikkuna, jossa näkyvät simuloinnin tulokset. SystemC mallin rakenne näkyy vasemmalla. SystemC-synteesi SystemC mallista voidaan syntetisoida rekisterisiirtotasoinen Verilog kuvaus käyttämällä Cadencen C to Silicon Compiler synteesiohjelmaa seuraavalla komennolla: ctosgui scripts/2_ctos_hls.tcl Skriptin asetukset määräävät synteesiohjelman ajoittamaan piirin FIR suodatinalgoritmin laskennan kuudelle kellojaksolle. 2

3 Cadence C to Silicon Compilerin synteesitulosnäkymiä: vasemmalla syntetisoitu RTL piirikaavio, oikealla ohjaus ja tietovuokaavio, jossa näkyy, millä kellojaksoilla piirikaaviossa korostettuna näkyvä kertoja on aktiivinen. Huom! Demon loppuosassa käytetään piirin "käsin koodattua" RTL mallia. Voit antaa muuttujalle HLS_RTL arvon 1 tiedostossa input/0_setup_design.tcl, jos haluat käyttää SystemC:stä syntetisoitua RTL mallia. Suuri osa SystemVerilog väittämistä jätetään tällöin käyttämättä varmennuksessa. RTL-mallin simulointi SystemVerilog kielistä RTL mallia voidaan simuloida seuraavalla komennolla: vsim do scripts/3_vsim_rtl_simulation.tcl Simuloinnissa käytetään UVM testipenkkiä, johon SystemC malli on sijoitettu referenssimalliksi. Simuloinnin tuloksia voidaan tarkastalla mm. Wave, Assertions ja Coverage ikkunoissa. Simulaattori tallettaa SAIF muotoisen aktiivisuustiedoston, jota logiikkasynteesiohjelma käyttää tehonkulutuksen arviointiin. 3

4 QuestaSimin Wave ikkuna, jossa näkyy signaalien aaltomuotoja ja väittämien totuusarvojen laskennan tuloksia. Formaali varmennus Piirille kirjoitetut SystemVerilog väittämät voidaan varmentaa formaalisti Questa Formal työkalulla seuraavasti: qformal do scripts/3_qformal_rtl_verification.tcl Työkalu todistaa väittämät joko tosiksi tai epätosiksi etsimällä vastaesimerkkejä, jotka osoittavat väittämät vääriksi. Vastaesimerkki on piirin tila, joka voidaan saavuttaa alkutilasta, ja jossa väittämä saa arvon epätosi. Tämä varmennusmenetelmä ei siis perustu suunnittelijan laatimiin testiherätteisiin. 4

5 Questa Formalin analyysitulosnäyttö, jossa näkyy useita "lauenneita" väittämiä, yksi virheelliseksi todistettu, ja kolme oikeaksi todistettua väittämää. Aaltomuotonäyttö sisältää vastaesimerkin, joka osoittaa, että väittämä ctr8_init_a voi saada arvon epätosi. RTL-koodin tarkistus RTL lähdekoodi voidaan tarkistaa yleisten koodausvirheiden tai huonon koodaustavan varalta Questa AutoCheck ohjelmalla seuraavasti: qautocheck do scripts/3_qautocheck_rtl_verification.tcl 5

6 Questa AutoCheckin analyysitulosnäyttö, jossa näkyy kolme mahdollista ongelmaa. Valittu tapaus varoittaa aritmeettisen ylivuodon mahdollisuudesta. Kellotusalueiden rajojen ylitysten tarkistus Kellotusalueiden rajat ylittävien signaalien luotettava synkronointi voidaan varmentaa Questa CDC ohjelmalla seuraavalla komennolla: qcdc do scripts/3_qcdc_static_cdc_analysis.tcl Jos ohjelma havaitsee ylityksen, jota ei voida todistaa luotettavaksi, se merkitsee sen arviontia vaativaksi ("evaluation"). Tällaisten ylitysten toiminta tulee varmentaa simuloinnilla. Ohjelma luo simulointimallin, joka sisältää synkronoijien toiminnan varmentavia väittämiä. Simulointi ja sen tulosten tarkastelu voidaan suorittaa komennolla: qcdc do scripts/2_qcdc_dynamic_cdc_analysis.tcl Simuloinnin tulokset ovat nähtävissä Simulation välilehdellä. 6

7 Questa CDC:n suorittaman staattisen analysoinnin tulosnäyttö, jossa näkyy yksi virheellinen kellotusalueen rajan ylitys, neljä simuloinnilla tehtävää arviontia vaativaa ja neljä luotettaviksi todistettua. Piirikaavio esittää virheelliseksi havaittua ylitystä (kaksikiikkuinen synkronoija, jota ohjataan kombinaatiologiikalla). RTL-synteesi kellon portituksen ja testipolkujen asennuksen kera RTL tasoinen SystemVerilog kuvaus voidaan syntetisoida porttitason malliksi Synopsys Design Compiler ohjelmalla seuraavalla komennolla:: design_vision x gui_start f scripts/4_dc_rtl_synthesis.tcl Ohjelma kääntää SystemVerilog koodin geneerisiksi kiikuiksi ja Boolen logiikan funktioiksi, ja toteuttaa nämä kohdeteknologian mukaisen komponenttikirjaston sisältämillä komponenteilla siten, että toteutus täyttää piirille tiedostossa sample_design.sdc asetetut ajoitusvaatimukset. 7

8 Lisäksi synteesiskripti lisää piiriin kellonportitussoluja dynaamisen tehonkulutuksen pienentämiseksi, ja luo piiriin testipolkuja, jotka parantavat piirin testattavuutta. Synopsys Design Compilerin RTL synteesin tulosnäyttö: piirin looginen rakenne, ajoitusmarginaalihistogrammi, ja porttitason piirikaavio. RTL- ja porttitason mallien loogisen ekvivalenssin formaali tarkistus RTL tason SystemVerilog mallin ja synteesillä tuotetun porttitason Verilog mallin (output/sample_design_gatelevel.v) looginen ekvivalenssi voidaan varmentaa Synopsys Formality ohjelmalla käyttämällä seuraavaa komentoa: formality file scripts/5_formality_gatelevel_verification.tcl 8

9 Synopsys Formalityn tulosnäyttö, jossa näkyy yhden vertailupisteen "logiikkakartiot". Ylempi piirikaavio esittää logiikkaa, joka ohjaa vertailupistettä (kiikun tulo) RTL mallissa. Alempi piirikaavio esittää vastaavaa vertailupistettä porttitason mallissa ohjaavaa logiikkaa. Porttitason simulointi Voit simuloida syntetisoitua porttitason mallia siten, että RTL malli toimii referenssimallina käyttämällä seuraavaa komentoa: vsim do scripts/5_vsim_gatelevel_simulation.tcl Koska logiikkasynteesiohjelma ei korjaa kiikkujen pitoaikavaatimusten rikkomuksia, ajoitustarkistukset on kytketty pois päältä tässä simuloinnissa. Pitoaikarikkomukset korjataan vasta layout suunnitteluvaiheessa kellosignaalin puskurointipuun lisäämisen jälkeen. 9

10 Vakiosolujen sijoittelu ja johdotus ja kellopuusynteesi Voit luoda piirille vakiosolupiirikuvioinnin käynnistämällä Cadence Encounter ohjelman seuraavalla komennolla:: encounter replay scripts/6_encounter_layout_synthesis.tcl Cadence Encounterin piirikuvionäyttö. Kolmen arkkitehtuuritason lohkon vaatima pinta ala on esitetty eri väreillä: daq_unit oranssilla, cdc_unit sinisellä, ja spi_slave keltaisella. Valkoiset viivat esittävät kellosignaalien johdotusta. 10

11 Layout-suunnittelua edeltävän ja sen jälkeisen mallin loogisen ekvivalenssin formaali tarkistus Syntetisoidun porttitason Verilog mallin (output/sample_design_gatelevel.v) ja lopullisen, layout suunnitteluohjelman tuottaman mallin (output/sample_design_postlayout.v) looginen ekvivalenssi voidaan tarkistaa Formalityn avulla seuraavasti: formality file scripts/7_formality_postlayout_verification.tcl Layout-suunnittelun jälkeinen ajoitussimulointi Layout suunnitteluohjeman tuottamaa porttitason mallia ja RTL referenssimallia voidaan simuloida QuestaSim simulaattorilla seuraavalla komennolla:: vsim do scripts/7_vsim_postlayout_simulation.tcl Piirin sisältämien synkronoijien ensimmäisten kiikkujen ajoitustarkistukset on kytketty pois toiminnasta tässä simuloinnissa. Post layout simuloinnin tulosnäytössä 16 bittisen data_out signaalin "analogisessa" aaltomuotoesityksessä näkyvät "häiriöt" aiheutuvat siitä, että piirin lähdön bitit vaihtavat tilaansa hieman eriaikaisesti piirin lähtörekisterin kiikkujen ja piirin lähtöjen välisten reittien eripituisten viiveiden vuoksi. 11

12 Piirikuvion suunnittelun jälkeinen staattinen ajoitusanalyysi Layout suunnitteluohjelman tuottamalle, johdotuksen aiheuttamat parasiittikapasitanssiarvot sisältävälle mallille voidaan tehdä staattinen ajoitusanalyysi Synopsys PrimeTime ohjelmalla seuraavasti: primetime x gui_start gui file scripts/7_primetime_postlayout_sta.tcl PrimeTimen viivepolkujen analysointi ikkuna: Vasen osa sisältää 100 kriittisintä rekisteristä rekisteriin kulkevaa polkua järjestettynä lähtöpisteen ja suurimman negatiivisen ajoitusmarginaalin mukaan (WNS, worst negative slack). Oikea osa sisältää värikoodatun kartan, jonka ruutujen pinta alat kuvaavat eri ajoitusmarginaaliryhmiin kuuluvien polkujen lukumäärää. Tässä tapauksessa kaikkien polkujen ajoitusmarginaali on positiivinen, joten ajoitusrikkomuksia aiheuttavien polkujen lukumäärä on nolla (NVP, number of violating paths). Layout-suunnittelun jälkeinen simulointi tehonkulutuksen arviointia varten Dynaamisen tehonkuluksen arvioimiseen tarvitaan tietoa piirin signaalien tilanvaihtojen lukumäärästä. Tämä aktiviteettitieto voidaan kerätä simuloinnin yhteydessä seuraavalla komennolla: 12

13 vsim do scripts/8_vsim_postlayout_power_simulation.tcl Tämä skripti suorittaa simuloinnin ilman ajoitustarkistuksia, ja tallettaa aktiviteettitiedon VCD tiedostoon (value change dump), jota tehonkulukuksen arviointiohjelma voi käyttää. Layout-suunnittelun jälkeinen dynaamisen tehonkulutuksen arviointi Piirin tehonkulutusta voidaan arvioida Synopsys PrimeTime PX ohjelmalla seuraavasti: primetime x gui_start gui file scripts/8_primetime_power_analysis.tcl Tämä skripti lukee Encounterin tuottaman porttitason piirikuvauksen ja parasiittikapasitanssitiedoston, ja simuloinnissa luodon VCD tiedoston, ja laskee näistä tehonkulutusarvion. 13

14 PrimeTime PX:n tehokartta esittää kunkin arkkitehtuuritason lohkon ja yksittäisen komponentin vaikutusta piirin kokonaistehonkulutukseen väri ja pinta alakoodauksella. PrimeTime PX skripti avaa aaltomuotonäytön, jossa näkyy piirin tehonkulutuksen vaihtelu ajan mukana. PrimeTime PX:n tehoaaltomuotonäyttö esitää dynaamista tehonkulutusta ajan funktiona. Yllä olevassa kuvassa data_fifon tehonkulutuksen nähdään kasvavan huomattavasti silloin, kun suodatin ohjelmoidaan ja fifo_clr signaali on aktiivinen (synkroninen reset). Tämä "avaa" kaikki kellonportitussolut samaan aikaan, mistä aiheutuu tehonkulutuksen kasvu. Automaattinen testeherätteiden luonti Piirille voidaan luoda sen tuotantotestauksessa tarvittavat testiherätteet käynnistämällä Synopsys TetraMax ohjelma seuraavasti: tmax scripts/9_tmax_atpg.tcl 14

15 Tämä skripti lukee layout suunnitteluohjelman tuottaman porttitason mallin, ja STIL tiedoston, joka kuvaa logiikkasynteesiohjelman piiriin lisäämiä testirakenteita, ja muodostaa testiherätteet juuttumisvikamallia käyttäen. Synopsys TetraMaxin vika analyysinäyttö. Punaisella korostetun portin tulossa olevaa juuttumisvikaa ei voida testata sen vuoksi, että portin lähdön tilaa ei voida havaita piirikaavion oikeassa reunassa näkyvästä testipolkukiikusta. 15

Aktiivisen melunhallintaprosessorin suunnittelu SystemC-kieltä ja korkean tason synteesiä käyttämällä

Aktiivisen melunhallintaprosessorin suunnittelu SystemC-kieltä ja korkean tason synteesiä käyttämällä Oulun yliopisto Elektroniikan piirit ja järjestelmät 2017 Aktiivisen melunhallintaprosessorin suunnittelu SystemC-kieltä ja korkean tason synteesiä käyttämällä Tässä artikkelissa kuvataan digitaalisen

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

OHJE Jos Kelaimeen kirjautuminen ei onnistu Mac-koneella Sisällys

OHJE Jos Kelaimeen kirjautuminen ei onnistu Mac-koneella Sisällys Sisällys 1 Varmista, että DigiSign-kortinlukijaohjelmisto on käynnissä 2 1.1 DigiSign-kuvake 2 1.2 Sovelluksen käynnistäminen 2 1.3 Kortin toiminnan varmistaminen 4 2 Jos käytät selaimena Mozilla, Firefox

Lisätiedot

CADS Planner Electric perusteet

CADS Planner Electric perusteet CADS Planner Electric perusteet Aloitus Ohjelman avaaminen, alkuasetukset Tasokuvat piirretään aina Suunnitteluu tilaan oikeilla mitoilla. Kuvalle annetaan myös mittakaavatiedot tulostusta varten, sekä

Lisätiedot

Python-ohjelmointi Harjoitus 2

Python-ohjelmointi Harjoitus 2 Python-ohjelmointi Harjoitus 2 TAVOITTEET Kerrataan tulostuskomento ja lukumuotoisen muuttujan muuttaminen merkkijonoksi. Opitaan jakojäännös eli modulus, vertailuoperaattorit, ehtorakenne jos, input-komento

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

5. HelloWorld-ohjelma 5.1

5. HelloWorld-ohjelma 5.1 5. HelloWorld-ohjelma 5.1 Sisällys Lähdekoodi. Lähdekoodin (osittainen) analyysi. Lähdekoodi tekstitiedostoon. Lähdekoodin kääntäminen tavukoodiksi. Tavukoodin suorittaminen. Virheiden korjaaminen 5.2

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

GeoGebra-harjoituksia malu-opettajille

GeoGebra-harjoituksia malu-opettajille GeoGebra-harjoituksia malu-opettajille 1. Ohjelman kielen vaihtaminen Mikäli ohjelma ei syystä tai toisesta avaudu toivomallasi kielellä, voit vaihtaa ohjelman käyttöliittymän kielen seuraavasti: 2. Fonttikoon

Lisätiedot

Loogiset konnektiivit

Loogiset konnektiivit Loogiset konnektiivit Tavallisimmat loogiset konnektiivit ovat negaatio ei konjunktio ja disjunktio tai implikaatio jos..., niin... ekvivalenssi... jos ja vain jos... Sulkeita ( ) käytetään selkeyden vuoksi

Lisätiedot

Maastotietokannan torrent-jakelun shapefile-tiedostojen purkaminen zip-arkistoista Windows-komentojonoilla

Maastotietokannan torrent-jakelun shapefile-tiedostojen purkaminen zip-arkistoista Windows-komentojonoilla Maastotietokannan torrent-jakelun shapefile-tiedostojen purkaminen zip-arkistoista Windows-komentojonoilla Viimeksi muokattu 5. toukokuuta 2012 Maastotietokannan torrent-jakeluun sisältyy yli 5000 zip-arkistoa,

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Suvi Junes/Pauliina Munter Tietohallinto/Opetusteknologiapalvelut 2014

Suvi Junes/Pauliina Munter Tietohallinto/Opetusteknologiapalvelut 2014 Työpaja Työpaja on vertaisarviointiin soveltuva työkalu. Työpaja mahdollistaa töiden palautuksen ja niiden jakelun opiskelijoiden arvioitavaksi sekä arvioinnin antamisen. Laita Muokkaustila päälle ja lisää

Lisätiedot

Approbatur 3, demo 1, ratkaisut A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat.

Approbatur 3, demo 1, ratkaisut A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat. Approbatur 3, demo 1, ratkaisut 1.1. A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat. Käydään kaikki vaihtoehdot läpi. Jos A on rehti, niin B on retku, koska muuten

Lisätiedot

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 Tässä osassa suunnitellaan 1. asteen Σ muunnin SC tekniikkaa hyväksikäyttäen. Muuntimen ideaalisen mallin toiminta varmistetaan Cadence simuloinnilla. Tavoitteet:

Lisätiedot

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti C! Perusteet 19.1.2017 Palautteesta (1. kierros toistaiseksi) (Erittäin) helppoa Miksi vain puolet pisteistä? Vaikeinta oli ohjelmointiympäristön asennus ja käyttö Ei selvää että main funktion pitikin

Lisätiedot

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1 1 Asentaminen...2 2 Yleistä ja simuloinnin aloitus...2 2.1 PI-säätimet...3 2.2 Trendit...4 3 Lämpölaitoksen ohjaus...5 4 Voimalan alkuarvojen muuttaminen...6 5 Tulostus...8 6 Mahdollisia ongelmia...8 6.1

Lisätiedot

Kirjoita oma versio funktioista strcpy ja strcat, jotka saavat parametrinaan kaksi merkkiosoitinta.

Kirjoita oma versio funktioista strcpy ja strcat, jotka saavat parametrinaan kaksi merkkiosoitinta. Tehtävä 63. Kirjoita oma versio funktiosta strcmp(),joka saa parametrinaan kaksi merkkiosoitinta. Tee ohjelma, jossa luetaan kaksi merkkijonoa, joita sitten verrataan ko. funktiolla. Tehtävä 64. Kirjoita

Lisätiedot

Sähköposti ja uutisryhmät 4.5.2005

Sähköposti ja uutisryhmät 4.5.2005 Outlook Express Käyttöliittymä Outlook Express on windows käyttöön tarkoitettu sähköpostin ja uutisryhmien luku- ja kirjoitussovellus. Se käynnistyy joko omasta kuvakkeestaan työpöydältä tai Internet Explorer

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

Autentikoivan lähtevän postin palvelimen asetukset

Autentikoivan lähtevän postin palvelimen asetukset Autentikoivan lähtevän postin palvelimen asetukset - Avaa Työkalut valikko ja valitse Tilien asetukset - Valitse vasemman reunan lokerosta Lähtevän postin palvelin (SM - Valitse listasta palvelin, jonka

Lisätiedot

6. Valitse avautuneesta ikkunasta Add-painike!

6. Valitse avautuneesta ikkunasta Add-painike! 1. Tallenna Mirc ohjelma koneellesi tästä osoitteesta: http://www.mirc.com/get.html 2. Asenna ohjelma 3. Avaa ohjelma mirc.exe kuvakkeesta 4. Avattuasi ohjelman se näyttää tältä(hieman leikeltynä): 5.

Lisätiedot

Laskuharjoitus 9, tehtävä 6

Laskuharjoitus 9, tehtävä 6 Aalto-yliopiston perustieteiden korkeakoulu Jouni Pousi Systeemianalyysin laboratorio Mat-2.4129 Systeemien identifiointi Laskuharjoitus 9, tehtävä 6 Tämä ohje sisältää vaihtoehtoisen tavan laskuharjoituksen

Lisätiedot

Simulaattorin asennus- ja käyttöohje

Simulaattorin asennus- ja käyttöohje Linux ja Windows XP Versio Päiväys Muokkaaja Kuvaus 0.2 16.2.2006 Mikko Halttunen Katselmoinin jälkeen 0.1 13.2.2006 Mikko Halttunen Alustava versio Sisällysluettelo 1 Johdanto... 3 2 Simulaattorin asennus...

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

2 Konekieli, aliohjelmat, keskeytykset

2 Konekieli, aliohjelmat, keskeytykset ITK145 Käyttöjärjestelmät, kesä 2005 Tenttitärppejä Tässä on lueteltu suurin piirtein kaikki vuosina 2003-2005 kurssin tenteissä kysytyt kysymykset, ja mukana on myös muutama uusi. Jokaisessa kysymyksessä

Lisätiedot

Mitä Uutta - SURFCAM V5.1 Sisällysluettelo

Mitä Uutta - SURFCAM V5.1 Sisällysluettelo VER CAD/CAM Software with world class precision and control... Mitä uutta Mitä Uutta - SURFCAM V5.1 Sisällysluettelo 1) Parannettu muistinhallinta 32 ja 64 bitin järjestelmissä 3 2) Konesimulointi Optio

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

815338A Ohjelmointikielten periaatteet Harjoitus 3 vastaukset

815338A Ohjelmointikielten periaatteet Harjoitus 3 vastaukset 815338A Ohjelmointikielten periaatteet 2015-2016. Harjoitus 3 vastaukset Harjoituksen aiheena ovat imperatiivisten kielten muuttujiin liittyvät kysymykset. Tehtävä 1. Määritä muuttujien max_num, lista,

Lisätiedot

KÄYTTÄJÄN KÄSIKIRJA OE/OSSPEAKER V.10.3 7.3.2007 KÄYTTÄJÄN KÄSIKIRJA OE/OSSPEAKER V.10.3 SISÄLLYSLUETTELO

KÄYTTÄJÄN KÄSIKIRJA OE/OSSPEAKER V.10.3 7.3.2007 KÄYTTÄJÄN KÄSIKIRJA OE/OSSPEAKER V.10.3 SISÄLLYSLUETTELO KÄYTTÄJÄN KÄSIKIRJA OE/OSSPEAKER V.10.3 OESPEAKER VERSIO 10.3 OSSPEAKER VERSIO 10.3 TILA: 3.3.2007 SISÄLLYSLUETTELO Ohjelman asennus... 2 Radiorastien liittäminen... 2 Taustatietojen syöttö... 2 Verkon

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

OHJE Jos Kelaimeen kirjautuminen ei onnistu Windows-koneilla

OHJE Jos Kelaimeen kirjautuminen ei onnistu Windows-koneilla Sisällys 1 Varmista, että DigiSign-kortinlukijaohjelmisto on käynnissä 2 1.1 DigiSign-kuvake 2 1.2 Sovelluksen käynnistäminen 3 1.3 Kortin toiminnan varmistaminen 4 2 Jos käytössä Mozzilla Firefox tai

Lisätiedot

5. HelloWorld-ohjelma 5.1

5. HelloWorld-ohjelma 5.1 5. HelloWorld-ohjelma 5.1 Sisällys Lähdekoodi. Lähdekoodin (osittainen) analyysi. Lähdekoodi tekstitiedostoon. Lähdekoodin kääntäminen tavukoodiksi. Tavukoodin suorittaminen. Virheiden korjaaminen 5.2

Lisätiedot

Testaustyökalut. Luento 11 Antti-Pekka Tuovinen. Faculty of Science Department of Computer Science

Testaustyökalut. Luento 11 Antti-Pekka Tuovinen. Faculty of Science Department of Computer Science Testaustyökalut Luento 11 Antti-Pekka Tuovinen 25 April 2013 1 Tavoitteet Työkalutyyppejä Testauksen hallinta Testien määrittely Staattinen analyysi Dynaaminen testaus 25 April 2013 2 1 Työkalut ja testaus

Lisätiedot

Kuva liikennemerkistä 1. Aleksanteri Numminen. aleksanteri.numminen@gmail.com ITKP101

Kuva liikennemerkistä 1. Aleksanteri Numminen. aleksanteri.numminen@gmail.com ITKP101 Kuva liikennemerkistä 1 Aleksanteri Numminen aleksanteri.numminen@gmail.com ITKP101 31.1.2016 1. Asiakirjan kieleen liittyvät työkalut... 1 1.1. Tyylien kielen valinta... 1 1.2. Oikeinkirjoituksen tarkastaminen...

Lisätiedot

C-ohjelmoinnin peruskurssi. Pasi Sarolahti

C-ohjelmoinnin peruskurssi. Pasi Sarolahti C! C-ohjelmoinnin peruskurssi Pasi Sarolahti Mitä haluan oppia C-kurssilla? ja miksi? Tutustu lähimpään naapuriin Keskustelkaa miksi halusitte / jouduitte tulemaan kurssille 3 minuuttia è kootaan vastauksia

Lisätiedot

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin.

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin. 2. Ohjausrakenteet Ohjausrakenteiden avulla ohjataan ohjelman suoritusta. peräkkäisyys valinta toisto Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet

Lisätiedot

BL40A1810 Mikroprosessorit, harjoitus 1

BL40A1810 Mikroprosessorit, harjoitus 1 BL40A1810 Mikroprosessorit, harjoitus 1 Käytettävä laitteisto Kurssin opetuslaitteistona käytetään Legon MINDSTORMS laitteita, jonka pääosia ovat ohjelmoitava NXT-yksikkö (Atmel ARM7, 64k RAM, 256k Flash),

Lisätiedot

Suoritusten seuranta ja opiskelijan edistyminen

Suoritusten seuranta ja opiskelijan edistyminen Suoritusten seuranta ja opiskelijan edistyminen Opettaja voi halutessaan ottaa käyttöön toiminnon, jossa hän määrittelee etenemispolun opintojaksolle. Hän voi jokaisen aktiviteetin kohdalla määritellä

Lisätiedot

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Digitaalitekniikan matematiikka Luku 13 Sivu 2 (10) Johdanto Tässä luvussa esitetään virheen havaitsevien ja korjaavien koodaustapojen perusteet ja käyttösovelluksia

Lisätiedot

Harjoitus 5: Simulink

Harjoitus 5: Simulink Harjoitus 5: Simulink Mat-2.2107 Sovelletun matematiikan tietokonetyöt Syksy 2006 Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoituksen aiheita Tutustuminen Simulinkiin Differentiaaliyhtälöiden

Lisätiedot

MS-A0402 Diskreetin matematiikan perusteet

MS-A0402 Diskreetin matematiikan perusteet MS-A0402 Diskreetin matematiikan perusteet Osa 1: Joukko-oppi ja logiikka Riikka Kangaslampi 2017 Matematiikan ja systeemianalyysin laitos Aalto-yliopisto Kiitokset Nämä luentokalvot perustuvat Gustaf

Lisätiedot

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti C! Perusteet 19.1.2017 Palautteesta (1. kierros toistaiseksi) Toistaiseksi helppoa Miksi vain puolet pisteistä? Vaikeinta oli ohjelmointiympäristön asennus ja käyttö Vaikeaa eroavuudet Pythonin ja C:n

Lisätiedot

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5)

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5) Alkuarvot ja tyyppimuunnokset (1/5) Aiemmin olemme jo antaneet muuttujille alkuarvoja, esimerkiksi: int luku = 123; Alkuarvon on oltava muuttujan tietotyypin mukainen, esimerkiksi int-muuttujilla kokonaisluku,

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Automaattinen regressiotestaus ilman testitapauksia. Pekka Aho, VTT Matias Suarez, F-Secure

Automaattinen regressiotestaus ilman testitapauksia. Pekka Aho, VTT Matias Suarez, F-Secure Automaattinen regressiotestaus ilman testitapauksia Pekka Aho, VTT Matias Suarez, F-Secure 2 Mitä on regressiotestaus ja miksi sitä tehdään? Kun ohjelmistoon tehdään muutoksia kehityksen tai ylläpidon

Lisätiedot

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100 Tiedonsiirtokäskyt LOAD LOAD-käsky toimii jälkimmäisestä operandista ensimmäiseen. Ensimmäisen operandin pitää olla rekisteri, toinen voi olla rekisteri, vakio tai muistiosoite (myös muuttujat ovat muistiosoitteita).

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Siemens Webserver OZW672

Siemens Webserver OZW672 Siemens Webserver OZW67 Climatix IC pilvipalvelu Kytke laite lämpöpumpun ohjaimeen Kytke laite verkkopiuhalla internetiin Mene nettiselaimella Climatix IC palveluun Luo käyttäjätili ja rekisteröi laite

Lisätiedot

F-Secure 5.42 -virustorjuntaohjelmiston käyttö

F-Secure 5.42 -virustorjuntaohjelmiston käyttö F-Secure 5.42 -virustorjuntaohjelmiston käyttö Tässä ohjeessa käsitellään: 1. Ohjelmiston asennuksen jälkeen. 1.1 Käyttöasetusten ja toiminnan tarkistus --> 2. Käyttäjäkohtaisten asetuksien muokkaaminen

Lisätiedot

12. Javan toistorakenteet 12.1

12. Javan toistorakenteet 12.1 12. Javan toistorakenteet 12.1 Sisällys Yleistä toistorakenteista. Laskurimuuttujat. While-, do-while- ja for-lauseet. Laskuri- ja lippumuuttujat. Tyypillisiä ohjelmointivirheitä. Silmukan rajat asetettu

Lisätiedot

Toinen harjoitustyö. ASCII-grafiikkaa 2017

Toinen harjoitustyö. ASCII-grafiikkaa 2017 Toinen harjoitustyö ASCII-grafiikkaa 2017 Yleistä Tehtävä: tee Javalla ASCII-merkkeinä esitettyä grafiikkaa käsittelevä ASCIIArt17-ohjelma omia operaatioita ja taulukoita käyttäen. Työ tehdään pääosin

Lisätiedot

RATKAISUT SIVU 1 / 15. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa.

RATKAISUT SIVU 1 / 15. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa. RATKAISUT SIVU 1 / 15 PowerPoint jatko Harjoitus 3.1-3.7: Harjoitus 3.1: Avaa ensin Harjoitustiedosto.pptx. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa. Valitse joka tapauksessa

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Mitä on konvoluutio? Tutustu kuvankäsittelyyn

Mitä on konvoluutio? Tutustu kuvankäsittelyyn Mitä on konvoluutio? Tutustu kuvankäsittelyyn Tieteenpäivät 2015, Työohje Sami Varjo Johdanto Digitaalinen signaalienkäsittely on tullut osaksi arkipäiväämme niin, ettemme yleensä edes huomaa sen olemassa

Lisätiedot

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009 VHDL/Verilog/SystemC Jukka Jokelainen 20.10.2009 Sisältö Mitä ihmettä on hardwaren ohjelmointi? VHDL Verilog SystemC Analogiaelektroniikan yhdistäminen digitaaliseen maailmaan Yhteenveto ja pohdintaa Hardwaren

Lisätiedot

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi.

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Lämpötilahälytin Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Laite koostuu Arduinokortista ja koekytkentälevystä. Hälyttimen toiminnat ohjelmoidaan Arduinolle.

Lisätiedot

LUMA Suomi kehittämisohjelma 8.10.2015 14:53 Joustava yhtälönratkaisu Matemaattinen Ohjelmointi ja Yhtälönratkaisu

LUMA Suomi kehittämisohjelma 8.10.2015 14:53 Joustava yhtälönratkaisu Matemaattinen Ohjelmointi ja Yhtälönratkaisu (MOJYR) Sisällysluettelo (MOJYR)... 1 1. Taustaa... 1 2. MOJYR-ohjelma... 2 2.1 Ohjelman asentaminen... 2 2.2 Käyttöliittymä... 2 3. Puumalli... 3 4. MOJYR-ohjelman ominaisuudet... 5 4.1 Yhtälön muodostaminen...

Lisätiedot

Tentti erilaiset kysymystyypit

Tentti erilaiset kysymystyypit Tentti erilaiset kysymystyypit Kysymystyyppien kanssa kannatta huomioida, että ne ovat yhteydessä tentin asetuksiin ja erityisesti Kysymysten toimintatapa-kohtaan, jossa määritellään arvioidaanko kysymykset

Lisätiedot

KÄYTTÖOHJE SATEL I-LINK PC POINT-TO-MULTIPOINT OHJELMISTO

KÄYTTÖOHJE SATEL I-LINK PC POINT-TO-MULTIPOINT OHJELMISTO KÄYTTÖOHJE SATEL I-LINK PC POINT-TO-MULTIPOINT OHJELMISTO 1 1 OTSIKOT 1 OTSIKOT...2 2 YLEISTÄ...3 2.1 SATEL I-LINK PC...3 3 ASETUKSET...4 3.1 SATELLINE RADIOMODEEMIN JA SATEL I-LINK 100:N ASETUKSET...4

Lisätiedot

TIETOKONEEN ASETUKSILLA PARANNAT KÄYTETTÄVYYTTÄ

TIETOKONEEN ASETUKSILLA PARANNAT KÄYTETTÄVYYTTÄ TIETOKONEEN ASETUKSILLA PARANNAT KÄYTETTÄVYYTTÄ Windows XP-käyttöjärjestelmän asetuksilla sekä Word-asetuksilla voit vaikuttaa tietokoneen näytön ulkoasuun, selkeyteen ja helppokäyttöisyyteen.. 1) ASETUKSET

Lisätiedot

CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa

CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa Sisällys Laitteen käyttöönotto...3 Latauskehdon asennus...3 Tiedonsiirto-ohjelman asennus...4 Keräilylaitteen käyttö...5 Viivakoodien luku...5

Lisätiedot

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

Kannattaa opetella parametrimuuttujan käyttö muidenkin suureiden vaihtelemiseen.

Kannattaa opetella parametrimuuttujan käyttö muidenkin suureiden vaihtelemiseen. 25 Mikäli tehtävässä piti määrittää R3:lle sellainen arvo, että siinä kuluva teho saavuttaa maksimiarvon, pitäisi variointirajoja muuttaa ( ja ehkä tarkentaa useampaankin kertaan ) siten, että R3:ssä kulkeva

Lisätiedot

NAVITA BUDJETTIJÄRJESTELMÄN ENSIASENNUS PALVELIMELLE

NAVITA BUDJETTIJÄRJESTELMÄN ENSIASENNUS PALVELIMELLE NAVITA BUDJETTIJÄRJESTELMÄN ENSIASENNUS PALVELIMELLE Ennen palvelinohjelman asennusta perustetaan tarvittavat kansiot. Oikeustasoista share- tai security-tason oikeudet riittävät; molempien oikeustasojen

Lisätiedot

Pörisevä tietokone. morsetusta äänikortilla ja mikrofonilla

Pörisevä tietokone. morsetusta äänikortilla ja mikrofonilla Pörisevä tietokone morsetusta äänikortilla ja mikrofonilla 1 Tiivistelmä Idea toteuttaa seuraavat vaatimukset: 1. kommunikointi toisen opiskelijan kanssa (morsetus) 2. toisen opiskelijan häirintä (keskittymistä

Lisätiedot

IDL - datan sovitus. ATK tähtitieteessä. IDL - esimerkiksi linfit. IDL - esimerkiksi linfit

IDL - datan sovitus. ATK tähtitieteessä. IDL - esimerkiksi linfit. IDL - esimerkiksi linfit IDL - datan sovitus 3. toukokuuta 2017 IDL sisältää monia yleisimpiä funktioita, joita voi helposti sovittaa datapisteisiin. Jos valmiista funktioista ei löydy mieleistä, voi oman mielivaltaisen sovitusfunktion

Lisätiedot

TiiMiMobile. KÄYTTÖOHJE v. 1.01

TiiMiMobile. KÄYTTÖOHJE v. 1.01 KÄYTTÖOHJE v. 1.01 KUVAUS TiiMiMobile on matkapuhelimessa toimiva Java -sovellus, jolla voi luoda etäyhteyden TiiMi-sarjan säätimeen. Yhteyden luonti voi tapahtua joko suoraan säätimeen tai palvelimen

Lisätiedot

Toinen harjoitustyö. ASCII-grafiikkaa

Toinen harjoitustyö. ASCII-grafiikkaa Toinen harjoitustyö ASCII-grafiikkaa Yleistä Tehtävä: tee Javalla ASCII-merkkeinä esitettyä grafiikkaa käsittelevä ASCIIArt-ohjelma omia operaatioita ja taulukoita käyttäen. Työ tehdään pääosin itse. Ideoita

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

NXT Infrapuna-sensori

NXT Infrapuna-sensori NXT Infrapuna-sensori Joissakin tilanteissa on hyödyllistä, jos robotti tunnistaa ympäristöstä tulevaa infrapunavaloa. Tämä tieto on välttämätön esim. RCJ:n robottijalkapallossa. Tässä esitellään vain

Lisätiedot

Käyttäjän käsikirja. LIB 500 ja LIB 510 v.4.0.2. 8.2. Releasettelutyökalu. 8.2.1. Yleistä. ,NNXQDMRNDLOPRLWWDDHWWlNRKGHRQSlLYLWHWWlYl

Käyttäjän käsikirja. LIB 500 ja LIB 510 v.4.0.2. 8.2. Releasettelutyökalu. 8.2.1. Yleistä. ,NNXQDMRNDLOPRLWWDDHWWlNRKGHRQSlLYLWHWWlYl 1MRS751368-RUM Käyttäjän käsikirja 8.1. Releyksikön valitseminen Releyksiköt esitetään asemakuvassa painikkeina. 8 $VHPDNXYDMRVVDQlN\\UHOH\NVLNN Jos kohteita tarvitsee päivittää, avataan ikkuna (Kuva 8.1.-2)

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Autotallin ovi - Tehtävänanto

Autotallin ovi - Tehtävänanto Autotallin ovi - Tehtävänanto Pisteytys (max 9p): 1 piste per läpi mennyt testi (max 7p) Reflektointitehtävä (max 2p yksi piste jos osaa vastata edes osittain ja toinen piste tyhjentävästä vastauksesta)

Lisätiedot

12. Javan toistorakenteet 12.1

12. Javan toistorakenteet 12.1 12. Javan toistorakenteet 12.1 Sisällys Yleistä toistorakenteista. Laskurimuuttujat. While-, do-while- ja for-lauseet. Laskuri- ja lippumuuttujat. Tyypillisiä ohjelmointivirheitä. Silmukan rajat asetettu

Lisätiedot

CLASSIC WINTIME - TOIMITTAJAN ENNAKKOPERINTÄREKISTERIPÄIVÄ

CLASSIC WINTIME - TOIMITTAJAN ENNAKKOPERINTÄREKISTERIPÄIVÄ CLASSIC WINTIME - TOIMITTAJAN ENNAKKOPERINTÄREKISTERIPÄIVÄ 1 Yleistä Verottajan vastaustiedostossa kerrotaan ainoastaan y-tunnus sekä tieto siitä, onko ennakkoperintärekisteröinti voimassa vai ei. Verohallinto

Lisätiedot

Luento 4. Timo Savola. 21. huhtikuuta 2006

Luento 4. Timo Savola. 21. huhtikuuta 2006 UNIX-käyttöjärjestelmä Luento 4 Timo Savola 21. huhtikuuta 2006 Osa I Shell Lausekkeet Komentoriville kirjotettu komento on lauseke echo "foo" echo $USER MUUTTUJA=1 ls -l Rivinvaihto

Lisätiedot

HP Photo Printing -pikaviiteopas

HP Photo Printing -pikaviiteopas Kuvien tuonti valokuvagalleriaan Seuraavassa kuvataan tapoja, joilla valokuvagalleriaan voidaan lisätä kuvia. Valokuvagalleria on HP Photo Printing -ohjelman vasemmanpuoleinen ruutu. Tulosteiden ja valokuva-albumin

Lisätiedot

Kieliversiointityökalu Java-ohjelmistoon. Ohje

Kieliversiointityökalu Java-ohjelmistoon. Ohje Kieliversiointityökalu Java-ohjelmistoon Ohje 2/6 SISÄLLYSLUETTELO 1 YLEISTÄ OHJELMASTA... 3 2 PÄÄ-IKKUNA...4 3 YLÄVALIKKO... 4 3.1 TIEDOSTO... 4 3.2 TOIMINTO... 4 3.3 ASETUKSET... 5 3.4 OHJE... 5 4 VÄLILEHDET...5

Lisätiedot

WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8)

WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8) WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8) 1 UUDEN KILPAILUTIEDOSTON AVAUS Avaa Wcondes ohjelma tuplaklikkaamalla wcondes.lnk ikonia. Ohjelma avaa automaattisesti viimeksi tallennetun kilpailutiedoston.

Lisätiedot

Zeon PDF Driver Trial

Zeon PDF Driver Trial Matlab-harjoitus 2: Kuvaajien piirto, skriptit ja funktiot. Matlabohjelmoinnin perusteita Numeerinen integrointi trapezoidaalimenetelmällä voidaan tehdä komennolla trapz. Esimerkki: Vaimenevan eksponentiaalin

Lisätiedot

MrSmart 8-kanavainen lämpötilamittaus ja loggaus, digitoija ja talletusohjelma

MrSmart 8-kanavainen lämpötilamittaus ja loggaus, digitoija ja talletusohjelma MrSmart 8-kanavainen lämpötilamittaus ja loggaus, digitoija ja talletusohjelma Kuva 1 MrSmart on digitointilaite PC:lle Yleistä MrSmart on sarjaliikenteellä toimiva sarjaliikennedigitoija. Laite mittaa

Lisätiedot

811312A Tietorakenteet ja algoritmit , Harjoitus 2 ratkaisu

811312A Tietorakenteet ja algoritmit , Harjoitus 2 ratkaisu 811312A Tietorakenteet ja algoritmit 2017-2018, Harjoitus 2 ratkaisu Harjoituksen aiheena on algoritmien oikeellisuus. Tehtävä 2.1 Kahvipurkkiongelma. Kahvipurkissa P on valkoisia ja mustia kahvipapuja,

Lisätiedot

Harjoitus 5. Esimerkki ohjelman toiminnasta: Lausekielinen ohjelmointi I Kesä 2018 Avoin yliopisto 1 / 5

Harjoitus 5. Esimerkki ohjelman toiminnasta: Lausekielinen ohjelmointi I Kesä 2018 Avoin yliopisto 1 / 5 Kysy Karilta tai Kimmolta, jos tehtävissä on jotain epäselvää. Kerro WETOon liittyvät tekniset ongelmat suoraan Jormalle sähköpostitse (jorma.laurikkala@uta.fi). Muista nimetä muuttujat hyvin sekä kommentoida

Lisätiedot

CAB Plan. Päivitys 4.5. Asiakas odottaa

CAB Plan. Päivitys 4.5. Asiakas odottaa Kent Isacsson 2014-01-20 1 1 of 6 Päivitys 4.5 CAB Plan Asiakas odottaa Jos perustieto ikkunassa laitat rastiin ruutuun asiakas odottaa, muuttuu rekisterinumero punaiseksi työvaiheessa mekaanikko välilehdellä,

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8)

WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8) WCONDES OHJEET ITÄRASTEILLE (tehty Condes versiolle 8) 1 UUDEN KILPAILUTIEDOSTON AVAUS Avaa Wcondes ohjelma tuplaklikkaamalla wcondes.lnk ikonia. Ohjelma avaa automaattisesti viimeksi tallennetun kilpailutiedoston.

Lisätiedot

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät JOHDATUS ELEKTRONIIKKAAN Oppitunti 2 Elektroniikan järjestelmät 2 ELEKTRONIIKAN JÄRJESTELMÄT Aktiivisuusranneke Mittaa liikettä Keskustelee käyttäjän kanssa ledeillä ja värinällä Keskustelee radioiden

Lisätiedot

ATK tähtitieteessä. Osa 5 - IDL datan sovitusta ja muita ominaisuuksia. 25. syyskuuta 2014

ATK tähtitieteessä. Osa 5 - IDL datan sovitusta ja muita ominaisuuksia. 25. syyskuuta 2014 25. syyskuuta 2014 IDL - datan sovitus IDL sisältää monia yleisimpiä funktioita, joita voi helposti sovittaa datapisteisiin. Jos valmiista funktioista ei löydy mieleistä, voi oman mielivaltaisen sovitusfunktion

Lisätiedot

MIKROAALTOUUNI VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA SÄHKÖTEKNIIKKA. Tuomas Karri i78953 Jussi Luopajärvi i80712 Juhani Tammi o83312

MIKROAALTOUUNI VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA SÄHKÖTEKNIIKKA. Tuomas Karri i78953 Jussi Luopajärvi i80712 Juhani Tammi o83312 VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA SÄHKÖTEKNIIKKA Tuomas Karri i78953 Jussi Luopajärvi i80712 Juhani Tammi o83312 SATE.2010 Dynaaminen kenttäteoria MIKROAALTOUUNI Sivumäärä: 12 Jätetty tarkastettavaksi:

Lisätiedot

T Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 12 (opetusmoniste, kappaleet )

T Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 12 (opetusmoniste, kappaleet ) T-79.144 Syksy 2004 Logiikka tietotekniikassa: perusteet Laskuharjoitus 12 (opetusmoniste, kappaleet 9.1 9.5) 30.11. 3.12.2004 1. Osoita lauselogiikan avulla oheisten ehtolausekkeiden ekvivalenssi. (a)!(a

Lisätiedot

SGN-1200 Signaalinkäsittelyn menetelmät, Tentti

SGN-1200 Signaalinkäsittelyn menetelmät, Tentti SGN-1200 Signaalinkäsittelyn menetelmät, Tentti 5.5.2008 Kirjoita nimesi ja opiskelijanumerosi jokaiseen paperiin. Vastauspaperit tullaan irrottamaan toisistaan. Jos tila ei riitä, jatka kääntöpuolelle

Lisätiedot

Jokaisen parittoman kokonaisluvun toinen potenssi on pariton.

Jokaisen parittoman kokonaisluvun toinen potenssi on pariton. 3 Todistustekniikkaa 3.1 Väitteen kumoaminen vastaesimerkillä Monissa tilanteissa kohdataan väitteitä, jotka koskevat esimerkiksi kaikkia kokonaislukuja, kaikkia reaalilukuja tai kaikkia joukkoja. Esimerkkejä

Lisätiedot

HP ProBook 430 G5 kannettavien käyttöönotto

HP ProBook 430 G5 kannettavien käyttöönotto HP ProBook 430 G5 kannettavien käyttöönotto Windows 10:n asennus, päivitysten tarkistus ja Abitti-asetukset Kytke tietokone verkkovirtaan ennen koneen käynnistämistä! Windows 10 Home käyttöjärjestelmän

Lisätiedot

Automaattitilausten hallinta

Automaattitilausten hallinta Automaattitilausten hallinta Automaattitilauksilla voidaan automatisoida kopiotilaukset tuotantolaitokselle. Työkalulla voitte määritellä kansio- sekä tiedostokohtaisia automaattitilauksia. Joka yö SokoPro

Lisätiedot

Konfiguraatiotyökalun päivitys

Konfiguraatiotyökalun päivitys Konfiguraatiotyökalun päivitys Kuinka aloitan? Konfiguraatiotyökalu avataan niin kuin aiemminkin suoraan Tenstar clientin käyttöliittymästä, tai harjoituksen ollessa käynnissä. Kuinka aloitan konfiguraatiotyökalun

Lisätiedot

BaseMidlet. KÄYTTÖOHJE v. 1.00

BaseMidlet. KÄYTTÖOHJE v. 1.00 KÄYTTÖOHJE v. 1.00 KUVAUS BaseMidlet on matkapuhelimessa toimiva sovellus jolla voi etäkäyttää Tiimi 7000 sarjan säätimiä. Copyright Team-Control Oy, oikeudet muutoksiin pidätetään. TiiMi on Team-Control

Lisätiedot

Luentaohjelma M-Bus mittareille Versio 1.77 ja uudemmat

Luentaohjelma M-Bus mittareille Versio 1.77 ja uudemmat 1(8) Sisältö 1 M-Bus -luenta M-Bus Arkin avulla...2 1.0 Mikä on?...2 1.1 Asennus...2 1.2 Käyttö...2 1.3 Yleisimmät kentät ja painikkeet...4 Muita painikkeita:...7 1.4 Modeemi...7 2 M-Bus Arkin käyttö esimerkki...8

Lisätiedot

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2

TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos. Harjoitustyö 4: Cache, osa 2 TAMPEREEN TEKNILLINEN YLIOPISTO Digitaali- ja tietokonetekniikan laitos TKT-3200 Tietokonetekniikka I Harjoitustyö 4: Cache, osa 2.. 2010 Ryhmä Nimi Op.num. 1 Valmistautuminen Cache-työn toisessa osassa

Lisätiedot