Ohjelmoitavat logiikkaverkot

Koko: px
Aloita esitys sivulta:

Download "Ohjelmoitavat logiikkaverkot"

Transkriptio

1 Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic devices FPGA-piirit Field-programmable gate gate arrays arrays Järjestelmäpiirit SoPC, SoPC, System on on a programmable chip chip SPLD-piirit Simple Simple PLD's PLD's CPLD-piirit Complex PLD's PLD's PLD FPGA SoPC

2 Digitaalitekniikka (piirit) Luku 9 Sivu 2 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Johdanto Tässä luvussa esitetään ohjelmoitavien logiikkaverkkojen ryhmittely ja päätyypit PLD, FPGA ja SoPC tutustutaan PLD- ja FPGA-verkkoihin esitetään verkkojen yleiset rakenteet ja arkkitehtuurit esitetään verkkojen osien rakenteita esitetään eri tyyppisten verkkojen ohjelmointi esitetään esimerkkejä kombinaatiopiirien ja synkronisten sekvenssipiirien toteuttamisesta eri tyyppisillä verkoilla esitetään ohjelmoitavien logiikkaverkkojen suunnitteluprosessi Luvun tavoitteena on antaa yleiskäsitys ohjelmoitavista logiikkaverkoista tutustuttaa eri tyyppisiin ohjelmoitaviin logiikkaverkkoihin ja niiden keskeisiin ominaisuuksiin ja eroihin

3 Digitaalitekniikka (piirit) Luku 9 Sivu 3 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Digitaalisten mikropiirityyppien ryhmittely Digitaaliset mikropiirit Digital Digital integrated circuits circuits Vakiopiirit Standard circuits circuits Ohjelmoitavat logiikkaverkot Programmable logic logic Asiakaspiirit Application specific IC's, IC's, ASIC's ASIC's piirivalmistaja suunnittelee piirivalmistaja valmistaa toiminta kiinteä vapaasti saatavilla piirivalmistaja suunnittelee piirivalmistaja valmistaa toiminta ohjelmoidaan vapaasti saatavilla laitevalmistaja suunnittelee piirivalmistaja valmistaa toiminta kiinteä vain laitevalmistajan saatavilla

4 Digitaalitekniikka (piirit) Luku 9 Sivu 4 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Yleistä ohjelmoitavista logiikkaverkoista Ostettaessa vakiopiiri suuri määrä piirielementtejä ja johtimia piirielementtien toiminta osittain määrittelemättä piirielementtien väliset kytkennät pääosin tekemättä Käyttäjä ohjelmoi tietokoneella toiminnan ja kytkennät ohjelmoinnin jälkeen piiri on asiakaspiiri Käyttömäärä on kasvanut ja kasvaa edelleen Käytön antamia etuja pieni koko ja toiminnan luotettavuus tuotekehitysprosessin nopeus toteutuksen joustavuus Käyttöön vaikuttavia heikkouksia suuri tehonkulutus osalla piireistä eräissä tapauksissa hinta

5 Digitaalitekniikka (piirit) Luku 9 Sivu 5 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavien logiikkaverkkojen ryhmittely Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic devices FPGA-piirit Järjestelmäpiirit Field-programmable SoPC, SoPC, System on on a gate gate arrays arrays programmable chip chip Uudet piirit pääosin FPGA- ja järjestelmäpiirejä SPLD-piirit Simple Simple PLD's PLD's CPLD-piirit Complex PLD's PLD's Mutkikkuus ja kapasiteetti kasvavat

6 Digitaalitekniikka (piirit) Luku 9 Sivu 6 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavien logiikkaverkkojen päätyypit PLD (Programmable Logic Device) ohjelmaltaan kiinteä eli haihtumaton: ohjelma säilyy, vaikka käyttöjännite poistetaan vanhin ohjelmoitavien logiikkaverkkojen päätyyppi osa kertaohjelmoitavia, osa uudelleenohjelmoitavia pieniä (SPLD) tai keskisuuria (CPLD), luokkaa porttia FPGA (Field Programmable Gate Array) pääosa on ohjelmaltaan haihtuvia eli ohjelma häviää, kun käyttöjännite poistetaan ohjelmoidaan uudelleen aina, kun piiriin kytketään sähkö osa ohjelmaltaan haihtumattomia eli ohjelma säilyy keskisuuria tai suuria, jopa miljoonia portteja Ohjelmoitavat järjestelmäpiirit (SoPC) suuria ja mutkikkaita piirejä suuri FPGA-osuus prosessori, muistia, erityislohkoja kokonainen järjestelmä yhdessä piirissä PLD FPGA SoPC

7 Digitaalitekniikka (piirit) Luku 9 Sivu 7 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? IN IN2 INm SPLD-piirin perusrakenne... Kytkentämatriismatriisi Interconnect array Inter- array Liitäntöjen sallintasignaalit Makrosolu Macrocell Makrosolu 2 Makrosolu 3... Makrosolu n Muodostaa SOP-lausekkeen SPLD Tuloliitännät Liitäntälohklohko I/O I/O block block Ohjelmoitavat liitännät... I/O I/O2 I/O3 I/On Liitäntäsignaalit I/O - I/On

8 Digitaalitekniikka (piirit) Luku 9 Sivu 8 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? 2 CPLD-piirin perusrakenne IN IN2 INp CPLD... Tuloliitännät Kytkentämatriismatriisi Liitäntöjen A sallintasignaalit Makrosolulohko A Makrosolut A-Am Makrosolulohko A Makrosolut Am+-An Liitäntäsignaalit I/OA - I/OAm Liitäntöjen K sallintasignaalit Makrosolulohko K Makrosolut K-Km Makrosolulohko K Makrosolut Km+-Kn Muodostavat n kpl SOPtai I-SOP-lausekkeita Liitäntälohko A lohko Liitäntälohko K lohko Ohjelmoitavat liitännät... I/OA I/OAm Upotetut (embedded) makrosolut... I/OK I/OKm Liitäntäsignaalit I/OK - I/OKm

9 Digitaalitekniikka (piirit) Luku 9 Sivu 9 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo PLD-piirien makrosolurakenteet PAL SPLD-arkkitehtuurit kombinaatio-osa muodostaa SOP-lausekkeita muodostetaan tulotermejä JA-porteilla ja lausekkeet niistä TAI-porteilla PAL (Programmable Array Logic), nykyisin vahvasti yleisin JA-porttien kytkentä TAI-portteihin on kiinteä PLA (Programmable Logic Array), nykyisin harvinainen PLA JA-porttien kytkentä TAI-portteihin on ohjelmoitava seuraavassa keskitytään PAL-piireihin CPLD-piirien perusarkkitehtuuri on PAL-tyyppinen, mutta niissä on yleensä makrosolujen käyttöä tehostavia lisäominaisuuksia suorat liitännät makrosoluista kytkentämatriisiin osa makrosoluista on upotettuja: ei yhteyttä liitäntälohkoon kombinaatio-osassa SOP- ja I-SOP-lausekkeita sekä XOR-logiikkaa siirrettävät JA-portit: lainattavissa naapurimakrosolun käyttöön jaettavat JA-EI-portit: sama invertoitu tulotermi useaan makrosoluun

10 Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? 3 PAL-arkkitehtuuri IN Puskuri-invertteripiirit Kytkentämatriisi ohjelmoitavat kytkennät liitäntäsignaalit kytketään JA-porttien tuloihin Makrosolujen JA-TAI-verkot JA-portit yhtä monta tuloa kuin liitäntäsignaaleja muodostavat halutut tulotermit tietty määrä, esim. 5 tai 8 TAI-portit muodostavat SOP-lausekkeet vakiomäärä tuloja IN MS IN2 MS 2... KM MS 3 LL... INm MS n... I/O I/O2 I/O3 I/On IN2 Ohjelmoituja kytkentöjä PAL Kytkentämatriisi solujen JA-TAI- Makroverkot I/O I/O2

11 Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? 4 PLA-arkkitehtuuri Puskuri-invertteripiirit Kytkentämatriisit ja 2 ohjelmoitavat kytkennät liitäntäsignaalit kytketään JA-porttien tuloihin Yhteiset JA-portit Ohjelmoituja kytkentöjä yhtä monta tuloa kuin liitäntäsignaaleja muodostavat halutut tulotermit tulotermit yhteisiä Makrosolujen TAI-portit muodostavat SOPlausekkeet yhtä monta tuloa kuin JA-portteja IN IN2 Kytkentämatriisi PLA JAverkko Kytkentämatriisi 2 I/O I/O2 Makrosolujen TAI- portit

12 Digitaalitekniikka (piirit) Luku 9 Sivu 2 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo PAL-tyyppisen CPLD-piirin tyypillinen makrosolu GR GCLK Koko piirille yhteiset signaalit IN IN2 INm MS... KM MS 2 MS 3... LL MS n... I/O I/O2 I/O3 I/On? 5 Liitäntälohkoon Kytkentämatriisista SOP/ I-SOP-/ XOR-valinta = Kellosign. valinta ACLK D/T R Ohjelmoitava valinta C Nollaussign. valinta Komb./sekv. -valinta LR Kytkentämatriisiin Makrosolun sisäiset signaalit Seuraavaan makrosoluun

13 Digitaalitekniikka (piirit) Luku 9 Sivu 3 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? 6 CPLD-piirin tyypillinen liitäntälohko Kytkentämatriisiin Kytkentämatriisista Ohjelmointi tuloksi, lähdöksi tai kaksisuuntaiseksi liitännäksi Makrosoluista GOE Koko piirille yhteinen sallintasignaali OE OE2 Liitäntäkohtaiset sallintasignaalit EN EN IN MS IN2 MS 2... KM MS 3 LL... INm MS n Ohjelmoitava valinta I/O I/O2 IOB... I/O I/O2 I/O3 I/On

14 Digitaalitekniikka (piirit) Luku 9 Sivu 4 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo PLD-piirien ohjelmointitavat PLD-piirit Programmable logic logic devices Kertaohjelmoitavat One-time programmable Uudelleen ohjelmoitavat Reprogrammable OTPROMperusteiset EPROMperusteiset EEPROMperusteiset Flashperusteiset Flash- Erikseen ohjelmoitavat Programmer programmable Nykyään pääosin käytössä Järjestelmäohjelmoitavat In-system programmable

15 Digitaalitekniikka (piirit) Luku 9 Sivu 5 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Kombinaatiopiirin toteutus PAL-piirillä Kaikki tulot kuvataan yhdellä signaaliviivalla Ohjelmoitu tuloksi A B F = A B + B C + A B C G = B C + A B C H = A B C + A B C + A B C = = = = EN EN EN EN C F G H Kaikki funktiot voidaan toteuttaa suoraan SOPlausekkeina

16 Digitaalitekniikka (piirit) Luku 9 Sivu 6 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo? 7 Kombinaatiopiirin toteutus PAL-piirillä 2 F = A B + A C + B C + A B C A B = A B C + A B C + A B C F voidaan toteuttaa I-SOP-muodossa G =A B C + A B C + A B C + A B C G voidaan toteuttaa apufunktiolla K: K = A B C + A B C G =A B C + A B C + K = = = = EN EN EN EN Ohjelmoitu tuloksi C F K G

17 Digitaalitekniikka (piirit) Luku 9 Sivu 7 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Synkronisen sekvenssipiirin toteutus PAL-piirillä CLK RES = D EN C R Ohjelmoitu tuloksi P D = Q + Q = Q Q (I-SOP) D = Q Q + P Q (SOP) = EN D C R = D EN C R Q Q L = Q + Q + P = Q Q P (I-SOP) = EN D C R L Tulosignaali P Kaksi tilasignaalia, Q ja Q Lähtösignaali L

18 Digitaalitekniikka (piirit) Luku 9 Sivu 8 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo FPGA-piirin perusrakenne Ohjelmoitavat logiikkalohkot eli CLB:t (Configurable Logic Block) toiminnan toteutus karkeajakoiset FPGA:t (yleinen, seuraavassa kuvataan vain näitä) koostuvat viipaleista (slice), esim. neljä viipaletta/clb hienojakoiset FPGA:t Liitäntälohkot eli IOB:t (Input/Output Block) piirin ulkoiset liitännät Kytkentämatriisi lohkojen väliset kytkennät Ohjelmoitava logiikkalohko Liitäntälohko FPGA Kytkentämatriisi

19 Digitaalitekniikka (piirit) Luku 9 Sivu 9 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo CLB:n viipaleen rakenne-esimerkki Ohjelmoitava osa Tulovalitsin Muistipiiri FPGA

20 Digitaalitekniikka (piirit) Luku 9 Sivu 2 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Hakutaulun toiminta Voidaan kuvata ohjelmoitavalla kiintomuistilla muistisoluilla ja tulovalitsimella Tulovalitsinkuvaus muuttujat valintatuloihin muistisolujen sisältö datatuloihin Muistisolujen sisältö saadaan suoraan funktion totuustaulusta FPGA Muuttujat Ohjelmoitavat muistisolut A A2 A3 A4 / / / / / / / / / / / / / / / / MUX G 5 F

21 Digitaalitekniikka (piirit) Luku 9 Sivu 2 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Liitäntälohkon rakenne Ohjelmoitava tulovalitsin EN Lähtösignaalipiirit Tulo/lähtövalintapiirit Tulosignaalipiirit S ED ECLK ECEN R OD OCLK OCEN I IQ ICLK ICEN S 2D C2 G R S 2D C2 G R S 2D C2 G R EN FPGA I/O

22 Digitaalitekniikka (piirit) Luku 9 Sivu 22 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Kytkentämatriisin rakenne Johtimet kulkevat lohkojen (CLB ja IOB) välissä Johdinten kytkennät ohjelmoidaan Eri tyyppisiä johtimia kellosignaalijohdinverkko useita ulkoisia kelloliitäntöjä voidaan kytkeä mihin hyvänsä piirin kiikkuun pitkät johtimet piirin reunasta reunaan tai FPGA yhteydessä vain osaan lohkoista lyhyet johtimet usein monia eri tyyppejä lähekkäin olevien lohkojen yhdistämiseen suorat johtimet vierekkäisten ja kulmittaisten lohkojen yhdistämiseen

23 Digitaalitekniikka (piirit) Luku 9 Sivu 23 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo FPGA-piirien ohjelmointitavat FPGA FPGA-piirit Field-programmable gate gate arrays arrays Valtateknologia nykyään Kertaohjelmoitavat One-time programmable Uudelleen ohjelmoitavat Reprogrammable Johdakeperusteiset Antifuse Haihtumaton ohjelma Hienojakoiset logiikkalohkot SRAMperusteiset SRAM- Flashperusteiset Flash- Haihtuva ohjelma Karkeajakoiset logiikkalohkot

24 Digitaalitekniikka (piirit) Luku 9 Sivu 24 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Uudelleen ohjelmoitavien piirien ohjelmointi Piirit ovat järjestelmäohjelmoitavia FPGA Asennetaan piirilevylle ohjelmoimattomina Flash-perusteiset ohjelmoidaan kuten PLD-piirit SRAM-perusteiset ohjelmoidaan aina, kun laitteeseen kytketään sähkö ) ohjelma on laitteessa erillisessä kiintomuistissa sarjaliitäntä FPGA-piiriin ohjelma siirtyy automaattisesti FPGA-piiriin, kun sähkö kytketään 2) laitteessa oleva prosessori lataa ohjelman FPGA-piiriin ohjelma on samassa kiintomuistissa kuin prosessorin oma ohjelma eräissä piireissä prosessori voi lukea ohjelman FPGA-piiristä ohjelman oikeellisuuden varmistus 3) ohjelma ladataan ulkopuolelta JTAG-ohjelmointiliitännän kautta suurissa järjestelmissä keskitetty ohjelman jakelu suunnittelun, testauksen ja huollon yhteydessä

25 Digitaalitekniikka (piirit) Luku 9 Sivu 25 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Kytkentäfunktion toteuttaminen FPGA-piirissä Suoraan totuustaulun perusteella Yksi tai useita hakutauluja/funktio Esimerkeissä yksinkertaisuuden vuoksi kahden muuttujan hakutaulu Kahden muuttujan funktio: FPGA? 8 F = A B + A B A B F B A MUX G F

26 Digitaalitekniikka (piirit) Luku 9 Sivu 26 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Kytkentäfunktion toteuttaminen FPGA-piirissä 2? 9 Laajennus CLB:n viipaleen tulovalitsimella Kolmen muuttujan funktio: A G = A B + B C + A B C A = A = A B C G MUX C G B MUX G G FPGA MUX G Valittu, kun A = Valittu, kun A =

27 Digitaalitekniikka (piirit) Luku 9 Sivu 27 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat järjestelmäpiirit Yhdellä piirillä toteutetaan laitteen koko digitaaliosa Perustuvat FPGA-teknologiaan Piiri sisältää suuren FPGA-osan lisäksi piiriperhekohtaisesti vaihdellen vaihtomuistia: useita erillisiä muistilohkoja eri tarkoituksiin signaalinkäsittelylohkoja tehokas prosessori tai useita prosessoreja SoPC kiinteä prosessori tai piiriin ohjelmoitava(t) prosessori(t) kellosignaalin käsittelylohkoja Kapasiteetiltaan keskisuuria tai suuria esimerkiksi Xilinxin Virtex 7 -perheen suurin piiri XC7V2T (tulossa) 35 4 ohjelmoitavan logiikkalohkon viipaletta (CLB slice) Kib erillistä muistia 26 signaalinkäsittelylohkoa enimmillään 26 liitäntänastaa signaaleille

28 Digitaalitekniikka (piirit) Luku 9 Sivu 28 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavien logiikkaverkkojen suunnitteluprosessi Suunnittelu (Design) Määrittely Specification Piirisuunnittelu Design Design entry entry Suunnittelun varmistus Design verification Toiminnallinen simulointi Functional simulation Synteesi Synthesis Toteutus Implementation Ohjelmointi Programming Ajoitussimulointi Timing Timing simulation Testaus Testing Testing

29 Digitaalitekniikka (piirit) Luku 9 Sivu 29 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavien logiikkaverkkojen suunnitteluvälineet Suunnitteluohjelmistot riippumattomien ohjelmistotoimittajien ohjelmistot soveltuvat kaikkien tai ainakin useiden piirivalmistajien piireille esim. Mentor Graphicsin FPGA Advantage piirivalmistajien ohjelmistot soveltuvat vain kyseisen piirivalmistajan piireille saatavilla ilmaisversioita, joissa on rajoitetut toiminnot USB-liitäntä esim. Alteran Quartus II ja Xilinxin ISE Ohjelmointilaitteet ja -kaapelit piirien ohjelmointiin erikseen tai paikalleen asennettuina Kokeilulevyt piirilevyt, joille on asennettu logiikkaverkko logiikkaverkon lisäksi muita piirejä, ohjelmointiliitäntä ja muita liitäntöjä kytkimiä, ledinäyttöjä ja liittimiä tulo- ja lähtösignaaleille Ohjelmointiliitäntä

30 Digitaalitekniikka (piirit) Luku 9 Sivu 3 (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Yhteenveto Ohjelmoitava logiikkaverkko ostetaan tyhjänä tyhjänäja ja ohjelmoidaan Ohjelmoitavien logiikkaverkkojen päätyypit ovat ovat PLD, PLD, FPGA FPGAja ja SoPC SoPC PLD-piirit ovat ovat joko joko pieniä pieniäspld-piirejä tai tai keskisuuria CPLD-piirejä PLD-piirien perusarkkitehtuurit ovat ovat PAL PAL (yleisin) ja ja PLA PLA PLD-piireissä on on kytkentämatriisi, makrosoluja ja ja liitäntälohkoja PLD-piirit ohjelmoidaan joko joko ohjelmointilaitteessa tai tai piirilevyllä Makrosoluissa muodostetaan SOP- SOP-ja ja I-SOP-muotoisia lausekkeita PLD-piireillä voidaan toteuttaa kombinaatiopiirejä ja ja sekvenssipiirejä FPGA-piirit ovat ovat kooltaan keskisuuria tai tai suuria suuria FPGA-piirit ovat ovat joko joko hienojakoisia tai tai karkeajakoisia FPGA-piirit koostuvat CLB- CLB-ja ja IOB-lohkoista ja ja kytkentämatriisista FPGA-piirit ovat ovat joko joko kertaohjelmoitavia tai tai uudelleenohjelmoitavia Kombinaatiopiiri toteutetaan FPGA-piireissä hakutauluilla Ohjelmoitavalla järjestelmäpiirillä voidaan toteuttaa koko koko digitaalilaite Suunnitteluprosessi koostuu itse itse suunnittelusta ja ja sen sen varmistuksesta

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Pasi Vähämartti ITSEOPISKELU 1(10) CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Tutki data-kirjasta XC9500-sarjan CPLD piirin: 1. Arkkitehtuuri 2. Suurimman ja pienimmän piirin portti-, pinni- ja

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalilaiteteknologia ja sovellukset

Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalilaiteteknologia ja sovellukset Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalitekniikan matematiikka Luku 2 Sivu 2 (25) Johdanto Tässä luvussa käsitellään digitaalilaitteiden osia ja rakennetta esitetään digitaalisiin mikropiireihin

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä? Miksi moniprosessorijärjestelmä? Laskentaa voidaan hajauttaa useammille prosessoreille nopeuden, modulaarisuuden ja luotettavuuden vaatimuksesta tai hajauttaminen voi helpottaa ohjelmointia. Voi olla järkevää

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu 2 (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdanto

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Ohjelmistoradio. Mikä se on:

Ohjelmistoradio. Mikä se on: 1 Mikä se on: SDR = Software Defined Radio radio, jossa ohjelmisto määrittelee toiminnot ja ominaisuudet: otaajuusalue olähetelajit (modulaatio) olähetysteho etuna joustavuus, jota tarvitaan sovelluksissa,

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Teollisuusautomaation standardit Osio 9

Teollisuusautomaation standardit Osio 9 Teollisuusautomaation standardit Osio 9 Osio 1: SESKOn Komitea SK 65: Teollisuusprosessien ohjaus Osio 2: Toiminnallinen turvallisuus: periaatteet Osio 3: Toiminnallinen turvallisuus: standardisarja IEC

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

1 Muutokset piirilevylle

1 Muutokset piirilevylle 1 Muutokset piirilevylle Seuraavat muutokset täytyvät olla piirilevylle tehtynä, jotta tätä käyttöohjetta voidaan käyttää. Jumppereiden JP5, JP6, JP7, sekä JP8 ja C201 väliltä puuttuvat signaalivedot on

Lisätiedot

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla

Lisätiedot

Johdatus digitaalitekniikkaan

Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Johdatus digitaalitekniikkaan Johdanto

Lisätiedot

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA Joona Salmela Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2019 2 Salmela J. (2019) Xilinxin

Lisätiedot

Nopea tiedonkeruulaitteisto radiokanavamittauksiin

Nopea tiedonkeruulaitteisto radiokanavamittauksiin 19.10.1998 Nopea tiedonkeruulaitteisto radiokanavamittauksiin Matti Leppänen (TKK/IRC/Sovellettu elektroniikka) Kimmo Kalliola (TKK/IRC/Radiolaboratorio) 1 Johdanto Tämän raportin tavoitteena on esitellä

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 2 (57) Harjoitustyön 2 tavoitteet opitaan piirisuunnitteluprosessin vaiheita opitaan

Lisätiedot

Toimilohkojen turvallisuus tulevaisuudessa

Toimilohkojen turvallisuus tulevaisuudessa Toimilohkojen turvallisuus tulevaisuudessa Turvallisuusseminaari ASAF 30.10-1.11.2006 Mika Strömman Teknillinen korkeakoulu 1 Sisältö Luotettavuuden lisääminen hyvillä tavoilla Toimilohkokirjastot Turvatoimilohkot

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA!

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e Yleistä opintojaksosta Laajuus op = 8 h, kokonaan syyslukukauden

Lisätiedot

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU OPINNÄYTETYÖ - AMMATTIKORKEAKOULUTUTKINTO TEKNIIKAN JA LIIKENTEEN ALA DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU T E K I J Ä : Toni Halonen SAVONIA-AMMATTIKORKEAKOULU OPINNÄYTETYÖ

Lisätiedot

KEHITYSALUSTAN SUUNNITTELUPROSESSI

KEHITYSALUSTAN SUUNNITTELUPROSESSI KEHITYSALUSTAN SUUNNITTELUPROSESSI Altera MAX 7000 ohjelmoitavaan piiriin perustuva kehitysalusta LAHDEN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Tietokone-elektroniikka Opinnäytetyö Syksy 2006

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä

FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO FPGA:lle sulautetulla mikroprosessorilla toteutettu sähkökäytön säätöjärjestelmä Diplomityön aihe on hyväksytty Lappeenrannan teknillisen yliopiston

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

SIMULINK 5.0 Harjoitus. Matti Lähteenmäki 2004 www.tpu.fi/~mlahteen/

SIMULINK 5.0 Harjoitus. Matti Lähteenmäki 2004 www.tpu.fi/~mlahteen/ SIMULINK 5.0 Harjoitus 2004 www.tpu.fi/~mlahteen/ SIMULINK 5.0 Harjoitus 2 Harjoitustehtävä. Tarkastellaan kuvan mukaisen yhden vapausasteen jousi-massa-vaimennin systeemin vaakasuuntaista pakkovärähtelyä,

Lisätiedot

Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN

Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN Kimmo Suorsa FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN FPGA-EVALUOINTILEVYN SOVELTUVUUS LIIKKEENOHJAUK- SEEN Kimmo Suorsa Opinnäytetyö Kevät 2014 Tietotekniikan koulutusohjelma Oulun ammattikorkeakoulu

Lisätiedot

A11-02 Infrapunasuodinautomatiikka kameralle

A11-02 Infrapunasuodinautomatiikka kameralle A11-02 Infrapunasuodinautomatiikka kameralle Projektisuunnitelma AS-0.3200 Automaatio- ja systeemitekniikan projektityöt Lassi Seppälä Johan Dahl Sisällysluettelo Sisällysluettelo 1. Projektityön tavoite

Lisätiedot

FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi

FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi FPGA-piirien käyttökohteet nyt ja tulevaisuudessa Tomi Norolampi ESITYKSEN SISÄLTÖ Flexibilis Oy lyhyesti FPGA FPGA-teknologian nykytilanne ja tulevaisuus Kaupallinen näkökulma Uudelleenkonfiguroinnin

Lisätiedot

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

Vianaikaisten tietojen tallennus taajuusmuuttajassa

Vianaikaisten tietojen tallennus taajuusmuuttajassa Matias Oikari Vianaikaisten tietojen tallennus taajuusmuuttajassa Sähkötekniikan korkeakoulu Diplomityö, joka on jätetty opinnäytteenä tarkastettavaksi diplomi-insinöörin tutkintoa varten Espoossa 2.11.2012.

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA. Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN

VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA. Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA AUTOMAATIOTEKNIIKKA Otto Nurmi FPGA-TEKNIIKAN OPETUKSEN KEHITTÄMINEN Diplomityö, joka on jätetty tarkastettavaksi diplomi-insinöörin tutkintoa varten Vaasassa 08.05.2015

Lisätiedot

1 YLEISTÄ. Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1.1 PROJEKTIN TARKOITUS

1 YLEISTÄ. Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1.1 PROJEKTIN TARKOITUS Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1 YLEISTÄ 1.1 PROJEKTIN TARKOITUS Tämä projekti on mikrokontrollerilla toteutettu lämpötilan seuranta kortti. Kortti kerää lämpöantureilta

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

ALTERA DE2 KEHITYS- JA OPETUSALUSTA

ALTERA DE2 KEHITYS- JA OPETUSALUSTA ALTERA DE2 KEHITYS- JA OPETUSALUSTA Eeva Siuruainen Opinnäytetyö 15.12.2011 Tietotekniikan koulutusohjelma Oulun seudun ammattikorkeakoulu OULUN SEUDUN AMMATTIKORKEAKOULU TIIVISTELMÄ Koulutusohjelma Opinnäytetyö

Lisätiedot

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Tekniikka 2018 VAASAN AMMATTIKORKEAKOULU Tietotekniikka TIIVISTELMÄ Tekijä Turo Id Opinnäytetyön nimi Mikroprosessorijärjestelmän suunnittelu FPGA:lla

Lisätiedot

I T. SurePath. Järjestelmä on täysin yhteensopiva kaikkien DALI hyväksyttyjen turva- ja poistumistievalojen kanssa.

I T. SurePath. Järjestelmä on täysin yhteensopiva kaikkien DALI hyväksyttyjen turva- ja poistumistievalojen kanssa. SurePath Järjestelmä on täysin yhteensopiva kaikkien DALI hyväksyttyjen turva- ja poistumistievalojen kanssa. SurePath on DALI pohjainen turvavalaistuksen valontajärjestelmä joka tarjoaa täysin yhteensopivan

Lisätiedot

LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO. Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa

LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO. Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa LAPPEENRANNAN TEKNILLINEN YLIOPISTO SÄHKÖTEKNIIKAN OSASTO Audiosignaalin digitaalinen käsittely aktiivisessa meluntorjunnassa Työn tarkastajina ja ohjaajina toimivat professori Pertti Silventoinen ja TkT

Lisätiedot

Arduino ohjelmistokehitys

Arduino ohjelmistokehitys Arduino ohjelmistokehitys http://www.arduino.cc/ jak Sisältö Mikä on Arduino? Ohjelmistonkehitysympäristö (Arduino IDE) Ohjelmointikieli Esimerkkejä Lähteitä Arduino -ohjelmistokehitys/ jak 2 Mikä on Arduino?

Lisätiedot

Tietokonetekniikka Edita, Seppo Haltsonen, Esko T. Rautanen

Tietokonetekniikka Edita, Seppo Haltsonen, Esko T. Rautanen SISÄLLYS 1 Tietokoneen toimintaperiaate ja käyttö... 14 1.1 Mikä tietokone on?... 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen... 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin

Lisätiedot

P I C A X E O H J E L M O I N T I

P I C A X E O H J E L M O I N T I PICAXE OHJELMOINTI Tämä materiaalipaketti on tehty PICAXE piireihin perustuvaa elektroniikan opetusta varten. Tarkoituksena on opettaa ohjelmoitavan mikropiirin käyttöä erilaisissa sovellutuksissa. Lisää

Lisätiedot

Ulkoiset mediakortit. Käyttöopas

Ulkoiset mediakortit. Käyttöopas Ulkoiset mediakortit Käyttöopas Copyright 2006 Hewlett-Packard Development Company, L.P. SD-logo on omistajansa tavaramerkki. Näitä tietoja voidaan muuttaa ilman etukäteisilmoitusta. HP-tuotteiden ja -

Lisätiedot

Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä

Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä BL10A1000 Kandidaatintyö ja seminaari KANDIDAATINTYÖ 7.10.2009 Mika Lehtisare 0280777 Säte 5 Laakerikipinöintilaskurin toteuttaminen FPGA- piirillä TIIVISTELMÄ Lappeenrannan teknillinen yliopisto Sähkötekniikan

Lisätiedot

Digi-tv vastaanottimella toteutetut interaktiiviset sovellukset

Digi-tv vastaanottimella toteutetut interaktiiviset sovellukset Vaatimusmäärittely Digi-tv vastaanottimella toteutetut interaktiiviset sovellukset Versio Päiväys Tekijä Kuvaus 0.1 12.10.01 Pekka Koskinen Ensimmäinen luonnos 0.2 17.10.01 Pekka Koskinen Lisätty vaatimuksia

Lisätiedot

Mikrokontrollerit. Mikrokontrolleri

Mikrokontrollerit. Mikrokontrolleri Mikrokontrollerit S-108.2010 Elektroniset mittaukset 18.2.2008 Mikrokontrolleri integrointi säästää tilaa piirilevyllä usein ratkaisu helpompi ja nopeampi toteuttaa ohjelmallisesti prosessori 4-64 bittinen

Lisätiedot

Tietokonetekniikan opinnot, (Videoterminaalidemo) TKT-1100 Digitaalitekniikan perusteet Erno Salminen Tampereen Teknillinen Yliopisto Syksy 2008

Tietokonetekniikan opinnot, (Videoterminaalidemo) TKT-1100 Digitaalitekniikan perusteet Erno Salminen Tampereen Teknillinen Yliopisto Syksy 2008 Tietokonetekniikan opinnot, (Videoterminaalidemo) TKT-1100 Digitaalitekniikan perusteet Erno Salminen Tampereen Teknillinen Yliopisto Syksy 2008 Johdanto Sisält ltö TKT-laitos ja sen opetustarjonta Yleisiä

Lisätiedot

JATKO-OPINTOSUUNNITELMA

JATKO-OPINTOSUUNNITELMA OULUN YLIOPISTO TEKNILLINEN TIEDEKUNTA JATKO-OPINTOSUUNNITELMA Pyydän hyväksymistä seuraaville tutkintovaatimuksilleni: Nimi: DI Iiro Insinööri Osoite: Iironkatu 10, 90100 Oulu Jatko-opinto-oikeus myönnetty:

Lisätiedot

DIPLOMITYÖ MIKROPROSESSORIN SUUNNITTELU JA TOTEUTUS FPGA:LLE

DIPLOMITYÖ MIKROPROSESSORIN SUUNNITTELU JA TOTEUTUS FPGA:LLE SÄHKÖTEKNIIKAN KOULUTUSOHJELMA DIPLOMITYÖ MIKROPROSESSORIN SUUNNITTELU JA TOTEUTUS FPGA:LLE Tekijä Valvoja Toinen tarkastaja Matti Isola Jukka Lahti Juha Häkkinen Marraskuu 2015 Isola M. J. (2015) Mikroprosessorin

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

SIMULOINTIYMPÄRISTÖJEN SOVELTAMINEN OPETUKSESSA SIMULOINNILLA TUOTANTOA KEHITTÄMÄÄN-SEMINAARI TIMO SUVELA

SIMULOINTIYMPÄRISTÖJEN SOVELTAMINEN OPETUKSESSA SIMULOINNILLA TUOTANTOA KEHITTÄMÄÄN-SEMINAARI TIMO SUVELA SOVELTAMINEN OPETUKSESSA SIMULOINNILLA TUOTANTOA KEHITTÄMÄÄN-SEMINAARI 2.12. TIMO SUVELA KUKA OLEN? Timo Suvela lehtori, sähkö- ja automaatiotekniikka (timo.suvela@samk.fi, 044-7103275) Nykyisyys SAMK:iin

Lisätiedot

PEM1123/ 410993A. Asennus- ja käyttöohje SW/S2.5 viikkokello. ABB i-bus KNX. SW/S2.5 Viikkokello

PEM1123/ 410993A. Asennus- ja käyttöohje SW/S2.5 viikkokello. ABB i-bus KNX. SW/S2.5 Viikkokello PEM1123/ 410993A Asennus- ja käyttöohje SW/S2.5 viikkokello ABB i-bus KNX SW/S2.5 Viikkokello Sisällysluettelo 1.0 Kuvaus 1.1 Laitteen käyttö...3 1.2 Ominaisuudet...3 1.3 Näppäimet ja osat...4 1.4 Tekniset

Lisätiedot

1 Tekniset tiedot: 2 Asennus: Asennus. Liitännät

1 Tekniset tiedot: 2 Asennus: Asennus. Liitännät Viitteet 000067 - Fi ASENNUS ohje inteo Soliris Sensor RTS Soliris Sensor RTS on aurinko- & tuulianturi aurinko- & tuuliautomatiikalla varustettuihin Somfy Altus RTS- ja Orea RTS -moottoreihin. Moottorit

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

Kehittyneiden Aaltomuotojen Käytettävyys HF-alueen Tiedonsiirrossa

Kehittyneiden Aaltomuotojen Käytettävyys HF-alueen Tiedonsiirrossa MATNE Tutkimusseminaari 17.11.2011 Kehittyneiden Aaltomuotojen Käytettävyys HF-alueen Tiedonsiirrossa Markku Jokinen 2 Sisällys Johdanto WARP ohjelmistoradioalusta HF-toteutus lmenneet rajoitukset ohjelmistoradioalustalla

Lisätiedot

Ohjelman kehitys NIOS II prosessorille

Ohjelman kehitys NIOS II prosessorille 1 Ohjelman kehitys NIOS II prosessorille 2 Sisällys Sisällys...2 1. Johdanto...3 1.1 Teknologiat...3 1.2 Suunnitteluvuo ja työkalut...4 1.3 Kehitysalusta...6 2. Quartus II:n käyttö...7 2.1 Käynnistys...7

Lisätiedot

Altus RTS. 1 Tekniset tiedot: 2 Lähetin: Telis 1 Telis 4 Centralis RTS

Altus RTS. 1 Tekniset tiedot: 2 Lähetin: Telis 1 Telis 4 Centralis RTS Viitteet 000071 - Fi ASENNUS ohje Altus RTS Elektronisesti ohjattu putkimoottori, jossa RTSradiovastaanotin, aurinko- & tuuliautomatiikka SOMFY Altus RTS on putkimoottori, jonka rakenteeseen kuuluvat RTS-radiovastaanotin,

Lisätiedot

KANDIDAATINTYÖ. Laitteistoemulointi järjestelmäsuunnittelussa. Ville Kangas. Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA

KANDIDAATINTYÖ. Laitteistoemulointi järjestelmäsuunnittelussa. Ville Kangas. Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA KANDIDAATINTYÖ Laitteistoemulointi järjestelmäsuunnittelussa Ville Kangas Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2015 Kangas, V. (2015) Laitteistoemulointi järjestelmäsuunnittelussa. Oulun

Lisätiedot

Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas

Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas Yleishimmentimellä varustetun, uppoasennettavan WMR-252-vastaanottimen käyttöopas Ainutlaatuinen, himmennystoiminnolla varustettu langaton yleisvastaanotin esimerkiksi himmennettävien 230 voltin LEDvalojen,

Lisätiedot

1 2 3 4 5 6 7 8 9 10 2 12,999,976 km 9,136,765 km 1,276,765 km 499,892 km 245,066 km 112,907 km 36,765 km 24,159 km 7899 km 2408 km 76 km 12 14 16 1 12 7 3 1 6 2 5 4 3 11 9 10 8 18 20 21 22 23 24 25 26

Lisätiedot

Maha Eurosystem jarrulaskentaohjelman asennusohje versio 7.20.026

Maha Eurosystem jarrulaskentaohjelman asennusohje versio 7.20.026 2012 Tecalemit Oy Seppo Koskivuori Maha Eurosystem jarrulaskentaohjelman asennusohje versio 7.20.026 Vaatimukset: - tietokone (PC), jossa vapaa USB portti - käyttöjärjestelmä Windows XP, Vista tai Windows

Lisätiedot

OMNIA OPINNÄYTETYÖ AMMATTIOPISTO. Diginoppa ICTP09SLG OMNIAN AMMATTIOPISTO

OMNIA OPINNÄYTETYÖ AMMATTIOPISTO. Diginoppa ICTP09SLG OMNIAN AMMATTIOPISTO OMNIA AMMATTIOPISTO OPINNÄYTETYÖ Diginoppa ICTP09SLG - 2012 OMNIAN AMMATTIOPISTO KOULUTUSALA Tieto- ja tietoliikennetekniikka OPISKELIJA Hannu Junno OHJAAJA Jari Laurila VUOSI 2012 2 TIIVISTELMÄ Opinnäytetyöni

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea

Lisätiedot

Ohjelmistoarkkitehtuuriin vaikuttavia tekijöitä. Kari Suihkonen

Ohjelmistoarkkitehtuuriin vaikuttavia tekijöitä. Kari Suihkonen Ohjelmistoarkkitehtuuriin vaikuttavia tekijöitä Kari Suihkonen Ohjelmistoarkkitehtuuriin vaikuttavia tekijöitä Tuote Ohjelmisto Ulkoiset tekijät Sisäiset tekijät 2 Hissin ohjausjärjestelmä ohjelmistotuotteena

Lisätiedot

Sulautetut järjestelmät

Sulautetut järjestelmät 1 Sulautetut järjestelmät Tietojenkäsittelytieteen koulukunta Sulautetut järjestelmät 2 Sulautetut järjestelmät Tyypillisiä sovelluskohteita» automaattiset tankkausjärjestelmät huoltoasemilla,» mekaanisen

Lisätiedot

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009

VHDL/Verilog/SystemC. Jukka Jokelainen 20.10.2009 VHDL/Verilog/SystemC Jukka Jokelainen 20.10.2009 Sisältö Mitä ihmettä on hardwaren ohjelmointi? VHDL Verilog SystemC Analogiaelektroniikan yhdistäminen digitaaliseen maailmaan Yhteenveto ja pohdintaa Hardwaren

Lisätiedot

Teollisuusautomaation standardit. Osio 2:

Teollisuusautomaation standardit. Osio 2: Teollisuusautomaation standardit Osio 2 Osio 1: SESKOn komitea SK 65: Teollisuusprosessien ohjaus Osio 2: Toiminnallinen turvallisuus: periaatteet Osio 3: Toiminnallinen turvallisuus: standardisarja IEC

Lisätiedot

Ulkoiset mediakortit. Käyttöohje

Ulkoiset mediakortit. Käyttöohje Ulkoiset mediakortit Käyttöohje Copyright 2007 Hewlett-Packard Development Company, L.P. SD-logo on omistajansa tavaramerkki. Tässä olevat tiedot voivat muuttua ilman ennakkoilmoitusta. Ainoat HP:n tuotteita

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

KANDIDAATINTYÖ. I2C-ohjatun LED PWM-ohjaimen toteuttaminen FPGA-piirillä. Anssi Partanen. Ohjaaja: Jukka Lahti

KANDIDAATINTYÖ. I2C-ohjatun LED PWM-ohjaimen toteuttaminen FPGA-piirillä. Anssi Partanen. Ohjaaja: Jukka Lahti KANDIDAATINTYÖ I2C-ohjatun LED PWM-ohjaimen toteuttaminen FPGA-piirillä Anssi Partanen Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN TUTKINTO-OHJELMA 2016 Partanen A. (2016) I2C-ohjatun LED PWM-ohjaimen toteuttaminen

Lisätiedot

D B. Levykön rakenne. pyöriviä levyjä ura. lohko. Hakuvarsi. sektori. luku-/kirjoituspää

D B. Levykön rakenne. pyöriviä levyjä ura. lohko. Hakuvarsi. sektori. luku-/kirjoituspää Levyn rakenne Levykössä (disk drive) on useita samankeskisiä levyjä (disk) Levyissä on magneettinen pinta (disk surface) kummallakin puolella levyä Levyllä on osoitettavissa olevia uria (track), muutamasta

Lisätiedot