Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Koko: px
Aloita esitys sivulta:

Download "Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2"

Transkriptio

1 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus Näyttöä ohjaava piiri, kun näyttö näyttää vuorotellen sykkeen, ruumiinlämmön, veren alapaineen ja veren yläpaineen Joulukoriste, jossa on kymmenen lamppua. Yksi lampuista kerrallaan palaa Seurapelin digitaalinen tiimalasi, joka näyttää jäljellä olevat minuutit ja sekunnit ja alkaa arvosta min Synkroninen sekvenssipiiri Vastaa seuraaviin kysymyksiin: IN NS Tilarekisteri PS Kombinaatiopiiri OUT NS Mitkä ovat synkronisen sekvenssipiirin osat Mitkä signaalit vaikuttavat tilarekisterin tilanmuutokseen Mistä signaaleista lähtösignaalit riippuvat Onko välttämättä oltava muita tulosignaaleja kuin kellosignaali Mikä merkitys kellosignaalilla on Määrääkö kellosignaali piirin seuraavan tilan

2 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Opetuskerta Sivu Tilarekisterin kiikkujen lukumäärä Yhdistä oikeat luvut viivoilla. Mooren kone ja Mealyn kone Vastaa seuraaviin kysymyksiin. 5 Tilojen määrä 7 Kiikkujen määrä 5 4 Voiko tulosignaalin muutos aiheuttaa lähtösignaalin välittömän muutoksen Mooren koneessa Voiko lähtösignaali muuttua kesken kellojakson Mealyn koneessa Riippuuko nykyinen tila tulosignaaleista Mealyn koneessa Vaikuttaako kellosignaalin taajuus siihen, miten nopeasti lähtösignaalit voivat muuttua Mooren koneessa 6 IN Lähdöt muodostava kombinaatiopiiri OUT Vain Mealyn koneessa IN Lähdöt muodostava kombinaatiopiiri OUT Tilanmuutokset Vastaa seuraaviin kysymyksiin. 4 VUOR NS TR PS KP L L NS Tilarekisteri PS Seuraavan tilan muodostava kombinaatiopiiri NS Tilarekisteri PS Seuraavan tilan muodostava kombinaatiopiiri NS Mikä signaali määrää nykyisen tilan (PS) muutoksen ajankohdan Tila YKS KAK Tilanmuutoksessa YKS KAK PS muuttuu ja PS. Miksi PS PS VUOR Tilanmuutoksessa YKS KAK vain toinen signaaleista L ja L muuttuu. Miksi NS NS L L

3 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu 4 Luku Opetuskerta Sivu Asynkroninen asetus ja nollaus Alla on esitetty asynkronisesti nollattavan -kiikun piirrosmerkki ja osittain täytetty toimintakaavio. Täydennä toimintakaavio. 7 SR-salpa Vastaa seuraaviin kysymyksiin. S R Tila Ei muutu Nollattu Asetettu Kielletty R Piirrosmerkki C R R Toimintakaavio X X Millä signaalilla SR-salpa nollataan SR-salpa asetetaan viisi kertaa peräkkäin nollaamatta sitä välillä. Mikä on -signaalin arvo viidennen asetuksen jälkeen Mikä S- ja R-tulojen yhdistelmä on kielletty Montako bittiä tietoa yhteen SR-salpaan voidaan tallettaa Missä SR-salpoja käytetään suuria määriä -salpa Alla on esitetty -salvan piirrosmerkki ja aikakaavio. Täydennä ne. Käytä apuna oheista -salvan toimintakaaviota. Tila X Ei muutu Nollattu Asetettu

4 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Luku Opetuskerta Sivu -kiikku Mikä signaali määrää -kiikun seuraavan tilan Milloin piirrosmerkin mukaisen -kiikun tila muuttuu Milloin piirrosmerkin mukaisen -kiikun tila muuttuu Montako bittiä tietoa yhteen -kiikkuun voidaan tallettaa Mihin käyttöön -kiikku sopii hyvin C C JK-kiikku Vastaa seuraaviin kysymyksiin. Käytä apunasi oheista JK-kiikun toimintakaaviota. Millä kytkennällä JK-kiikusta saadaan T- kiikku Entä millä -kiikku (tarvitaan yksi lisäpiiri) Minkä arvon annat J- ja K-tulolle, kun haluat, että kiikku asettuu seuraavan kellopulssin nousevalla reunalla Millainen on laskevalla reunalla liipaistavan JK-kiikun piirrosmerkki 5 J K (t+) (t) (t) Tila Ei muutu Nollautuu Asettuu Vaihtuu T-kiikku 4 Täydennä alla esitetty nousevalla reunalla liipaistavan T-kiikun piirrosmerkki ja aikakaavio. Käytä apuna oheista T-kiikun toimintakaaviota. T (t+) Tila (t) Ei muutu (t) Vaihtuu Kiikun ajoitusparametrit Esitä kysytyt ajat nanosekunteina kaavion perusteella. Asettumisaika Pitoaika Etenemisviive 6 Saa muuttua Saa muuttua T t/ns 5 5

5 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu 4 Luku Opetuskerta Sivu Lähdön lauseke Johda vilkuttimen lähtötaulusta lähdön lauseke. 7 Vilkuttimen määrittely ja lohkokaavio Vilkuttimessa VILK on kaksi tilaa. Toisessa niistä vilkuttimen lamppu palaa (LAMP = ), toisessa ei. Tila vaihtuu kellosignaalin tahdissa. Kellosignaalin taajuus on Hz. Laadi vilkuttimen vuokaavio ja lohkokaavio. Lähtötaulu Nykyinen tila Lähtö LAMP Piirikaavio Piirrä vilkuttimen piirikaavio. Käytä siinä oheisen kuvan mukaista -kiikkua. C 8 Tilalohko ja lähtönuoli Laadi tilalohko ja lähtönuolet, kun tilasta tiedetään seuraavat asiat: OPER RUN NORM = Tilan nimi on VALO Tilassa lähtösignaali LAMP on aktiivinen Tilakoodi on LAMP =

6 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Luku Opetuskerta Sivu ASM-kaavio, vain kellotulo Laadi ASM-kaavio oheisen vuo- ja lohkokaavion mukaiselle vilkuttimelle. Vuokaavio s Tilataulu ja lähtötaulu Täydennä vilkuttimen tilataulu ja lähtötaulu. ASM-kaavio Nykyinen tila Tilataulu Seuraava tila 5 Lamppu Lamppu palaa palaa s EIV Lohkokaavio VILK VILK LAMP VALO LAMP Lähtötaulu Nykyinen tila Lähtö LAMP Kellojakso s Kellojakso s Tilakoodit Anna vilkuttimen tiloille tilakoodit. Käytä perinteistä koodausta eli minimoi kiikkujen lukumäärä. 4 Seuraavan tilan lauseke Johda vilkuttimen tilataulusta seuraavan tilan lauseke. 6 ASM-kaavio Tilataulu EIV VALO LAMP Nykyinen tila Seuraava tila Kellojakso s

7 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 4 Sivu 4 Luku Opetuskerta 4 Sivu Estettävän vilkuttimen piirikaavio Piirrä estotoiminnalla varustetun vilkuttimen piirikaavio. Käytä tilarekisterinä kuvan mukaista -kiikkua. C Päätöslohko Vilkuttimen toiminta voidaan estää tulosignaalilla ESTO. Tällöin vilkuttimen lamppu ei pala. Piirrä vastaava päätöslohko ja siihen - ja -haara. SLOW 9 = + ESTO LAMP = Estettävän vilkuttimen ASM-kaavio Laadi estotoiminnalla varustetun vilkuttimen ASM-kaavio tilakoodeineen. Vuokaavio s Toiminta estetty estetty Ei Lamppu Lamppu palaa palaa Kyllä s ESTO Lohkokaavio ESVILK ESVILK LAMP Kellojakso s

8 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 4 Sivu Luku Opetuskerta 4 Sivu Estettävän vilkuttimen tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen tila- ja lähtötaulu. ASM-kaavio Tilataulu Seuraavan tilan lauseke Esitä estotoiminnalla varustetun vilkuttimen seuraavan tilan funktion lauseke. Muokkaa sitä niin, että saat funktion toteutetuksi yhdellä portilla. EIV Nykyinen tila Tulo ESTO Seuraava tila Tilataulu VALO ESTO LAMP Lähtötaulu Nykyinen tila Lähtö LAMP Nykyinen tila Tulo ESTO Seuraava tila Kellojakso s

9 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 5 Sivu 4 Luku Opetuskerta 5 Sivu Estettävän vilkuttimen piirikaavio (Mealy) Piirrä estotoiminnalla varustetun Mealyn koneena toteutetun vilkuttimen piirikaavio. Käytä tilarekisterissä oheista -kiikkua. C 8 Ehdollinen lähtölohko Estotoiminnalla varustetussa vilkuttimessa halutaan, että ESTO-signaalin arvo estää lähtösignaalin LAMP heti, eli pakottaa sen arvoon. Piirrä tähän tarvittava päätöslohko ja ehdollinen lähtölohko sekä niihin liittyvät lähtönuolet. FAST RAPI NORM 4 = + ESTO LAMP = + ESTO Kellosignaalin käyttö Kellosignaalin käyttötavat ovat ) ajastus, ) odotus ja ) niiden yhdistelmä. Mitä tapaa käyttäisit seuraavissa sovelluksissa: Taskulaskimen näppäimistön liitäntäpiiri 9 Estettävä vilkutin Mealyn koneena Muokkaa estotoiminnalla varustetun vilkuttimen Mooren kone -toteutuksen ASM-kaaviosta Mealyn kone -toteutuksen ASM-kaavio niin, että ESTOsignaalin arvo sammuttaa lampun LAMP heti. 5 Tietokoneen keskusyksikön ohjauslogiikka EIV Näppäinlukko ESTO Hedelmäpelin ohjauslogiikka igitaalikellon ajan laskentapiiri VALO LAMP Kellojakso s

10 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 5 Sivu Luku Opetuskerta 5 Sivu Estettävän vilkuttimen yhdistetty tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen yhdistetty tila- ja lähtötaulu. EIV VALO ESTO LAMP Nykyinen tila Tila- ja lähtötaulu Tulo ESTO Seuraava tila Lähtö LAMP 6 Estettävän vilkuttimen tila- ja lähtösignaali (Mealy) Esitä Mealyn koneena toteutetun estotoiminnalla varustetun vilkuttimen seuraavan tilan ja lähtösignaalin lauseke. Muokkaa lähtösignaalin lauseketta niin, että voit toteuttaa funktion yhdellä portilla. Nykyinen tila Tila- ja lähtötaulu Tulo ESTO Seuraava tila Lähtö LAMP 7 Kellojakso s

11 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 6 Sivu 4 Luku 4 Opetuskerta 6 Sivu VHL-kuvauskielen operaatiot Esitä seuraavat lausekkeet VHL-kuvauskielellä. Muista sulkeiden käyttö! 4 Laitteiston kuvauskielet Yhdistä seuraavat laitteiston kuvauskielten ja ohjelmointikielten nimet oikeisiin kohteisiin. a + b c ABEL Ada (a + b) c a + b c a b c Ohjelmointikielet AHL C++ COBOL CUPL Fortran PALASM Pascal Verilog VHL Laitteiston kuvauskielet Visual Basic

12 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 6 Sivu Luku 4 Opetuskerta 6 Sivu VHL-kuvauksen perusrakenne a f VHL-kuvauskielen keskeiset tyypit Täydennä oheinen invertterin eli EI-piirin VHL-kuvaus. Yhdistä alla olevat objektit niiden oikeaan tyyppiin. Huomaa, että sama objekti voi kuulua useaan eri tyyppiin. ENTITY IS PORT ( : IN BIT; : OUT BIT); EN ; '' "" 'Z' BIT BIT_VECTOR BOOLEAN ARCHITECTURE OF IS BEGIN TRUE CHARACTER INTEGER <= NOT ; EN ; "4" STRING 4 ST_LOGIC

13 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 7 Sivu 4 Luku 4 Opetuskerta 7 Sivu Suunnitteluyksikön esittely päälohkokaaviosta Täydennä piirin suunnitteluyksikön esittely alla esitetyn estotoiminnalla varustetun vilkuttimen päälohkokaavion mukaiseksi. 5 esto clk reset Valon vilkutin esvilk esvilk lamp ENTITY IS PORT ( : IN BIT; clk, reset : ; : BIT); EN ; VHL-käyttäytymiskuvaus lausekkeesta Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin lausekkeesta muodostettu VHL-käyttäytymiskuvaus. 6 lamp = q + esto ENTITY esvilkkomb IS PORT (, : IN ; : BIT); EN ; ARCHITECTURE OF IS BEGIN <= ; EN ;

14 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 7 Sivu Luku 4 Opetuskerta 7 Sivu Tilanmuutosten kuvaaminen Täydennä estotoiminnalla varustetun vilkuttimen tilanmuutosten kuvaus. ASM-kaavio CASE tila IS WHEN eiv => eiv IF = '' THEN esto tila <= ; ELSE <= ; EN IF; valo lamp Kellojakso s WHEN => tila <= ; EN CASE; 7 Mealyn koneen lähtösignaalien kuvaaminen Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin kuvaus. <= '' WHEN tila = AN = ELSE ; eiv valo esto lamp 9 Mooren koneen lähtösignaalien kuvaaminen Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin kuvaus. ASM-kaavio 8 eiv esto WITH tila SELECT <= '' WHEN, WHEN OTHERS; valo lamp Kellojakso s

15 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 8 Sivu Luku 5 Opetuskerta 8 Sivu Rakennekuvaus Täydennä piirikaavion kuvaaman kombinaatiopiirin VHLarkkitehtuurin rakennekuvaus. ARCHITECTURE rakenne OF piiri IS BEGIN COMPONENT nand_ PORT (, b : IN BIT; : ); EN COMPONENT; SIGNAL : BIT; u: nand_ PORT MAP (a => x, b => y, f => uf); : PORT MAP (a =>, b =>, => ); EN ; x y z a b u f uf a b u f g Rinnakkaisrekisterin aikakaavio Täydennä oheisena esitetyn toiminnan sallintatulolla varustetun -bittisen rinnakkaisrekisterin aikakaavio. LOA LOA Piirrosmerkki Toimintataulukko LOA G C i (t+) i (t) i (t) Rinnakkais- ja sarjaliitännät Yhdistä seuraavat digitaalisten rinnakkais- ja sarjaliitäntätyyppien nimet oikeisiin kohteisiin. Rinnakkaisliitäntä VI FireWire Ethernet HMI PATA (IE) PCI PCI Express SATA USB Sarjaliitäntä

16 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 5 Opetuskerta 9 Sivu Luku 5 Opetuskerta 9 Sivu Ajoituspulssien synnyttäminen -laskuri binaarilaskurista Lisää kuvan siirtorekisteriin tarvittavat piirit ja tee tarvittavat kytkennät, jotta se tuottaa oheisen aikakaavion mukaiset ajoituspulssit. T T T SRG4 C/ Lisää kuvan binaarilaskuriin tarvittavat piirit ja tee tarvittavat kytkennät, jotta se laskee luvusta lukuun = B ja nollautuu sitten kellopulssin nousevalla reunalla. 5 CTRIV6 M G CT=5 G C4/,,+,4 [] [] [4] [8] Asynkronisen laskurin viive Täydennä alla esitetyn laskurin aikakaavio. Kiikun viive on ns. Laskurissa on aluksi luku 7 = B. Minkä ajan laskurissa on luku 8 = B T C T C T C T C 4 t/ns

17 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu 4 Luku 6 Opetuskerta Sivu SPL-piirin rakenne IN IN IN IN4 Liitäntöjen sallintasignaalit Makrosolu Makrosolu Makrosolu 8 Liitäntäsignaalit I/O - I/O8 Ohessa on esimerkki SPL-piiristä. Sillä toteutetaan piiri, jossa on kuusi tulosignaalia. Vastaa seuraaviin kysymyksiin: Kytkentämatriismatriisi Liitäntälohklohko I/O I/O I/O8 Montako liitäntöjen sallintasignaalia piirissä on Montako ohjelmoitavaa liitäntää on ohjelmoitava tuloiksi Montako lähtösignaalia piirissä voi enintään olla Montako lähtösignaalia piirissä voi enintään olla, jos toteutettavat funktiot ovat niin mutkikkaita, että jokaisen toteuttamiseen tarvitaan kaksi makrosolua CPL-piirin rakenne Vastaa seuraaviin kysymyksiin. Montako makrosolua piirissä on IN IN IN IN4 Liitäntöjen A sallintasignaalit Makrosolulohko A Makrosolut A-A5 Makrosolulohko A Makrosolut A6-A8 Liitäntäsignaalit I/OA - I/OAx Liitäntöjen sallintasignaalit Kytkentämatriismatriisi Liitäntälohko A lohko I/OA I/OAx Montako upotettua makrosolua Montako ohjelmoitavaa liitäntää Makrosolulohko Makrosolut -5 Makrosolulohko Makrosolut 6-8 Liitäntäsignaalit I/O - I/Ox Liitäntälohko lohko I/O I/Ox Jääkö makrosolu käyttämättömäksi, jos ohjelmoitava liitäntä ohjelmoidaan tuloksi Piirillä toteutetaan aito Mealyn kone, jossa on kaikkiaan 8 tuloa ja lähtöä. Jokaisessa makrosolussa on yksi kiikku. Montako tilaa piirissä voi enintään olla

18 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu PAL-arkkitehtuuri Vastaa seuraaviin kysymyksiin. Montako makrosolua piirissä on Montako pystylinjaa kytkentämatriisissa on Montako tuloa jokaisessa JA-portissa on Montako tulotermiä makrosolussa muodostettavassa lausekkeessa voi enintään olla Useassa muodostettavassa lausekkeessa on sama tulotermi. Onko se muodostettava useita kertoja Montako JA-porttia piirissä on Montako tuloa JA-porteissa on yhteensä IN IN4 I/O I/O8 PLA-arkkitehtuuri Vastaa seuraaviin kysymyksiin. Piirissä on 4 JA-porttia. Montako makrosolua piirissä on Montako pystylinjaa kytkentämatriisissa on Montako tuloa jokaisessa TAI-portissa on 4 Montako tulotermiä makrosolussa muodostettavassa lausekkeessa voi enintään olla IN IN4 Useassa muodostettavassa lausekkeessa on sama tulotermi. Tarvitseeko se muodostaa jokaiseen erikseen Kytk.- matr. Kytk.-matr. I/O I/O8

19 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu Makrosolun ohjelmointi Ohjelmoi makrosolu seuraavasti: Makrosolussa muodostetaan I-SOPlauseke Piirillä toteutetaan synkroninen sekvenssipiiri Makrosolun kiikkua käytetään tilarekisterissä Kiikku alustetaan yhteisellä alustussignaalilla Liitäntälohkon ohjelmointi Ohjelmoi liitäntälohko seuraavasti: Ohjelmoitava liitäntä ohjelmoidaan tuloksi Ohjelmoitava liitäntä ohjelmoidaan lähdöksi Ohjelmoitava liitäntä ohjelmoidaan kaksisuuntaiseksi ja suunta valitaan piirin yhteisellä sallintasignaalilla Ohjelmoitava liitäntä 4 ohjelmoidaan kaksisuuntaiseksi ja suunta valitaan liitäntäkohtaisella sallintasignaalilla GR G = A LR Kytkentämatriisiin GOE OE OE OE OE4 5 /T R C Seuraavaan makrosoluun EN EN EN EN 6 I/O I/O I/O I/O4 Kombinaatiopiirin toteutus PAL-piirillä Toteuta oheisella PAL-piirillä seuraavat funktiot: F = A B + B C + A B C G = A B + A C + B C + A B C = = = = EN EN EN EN 7

20 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu Kytkentäfunktion toteutus hakutaululla Toteuta oheisella hakutaululla kytkentäfunktio F(A, B, C) = m(,, 4, 5, 7) 8 Kytkentäfunktion toteutus hakutauluilla Toteuta funktio F(A, B, C) = m(,, 6) hakutauluilla ja tulovalitsimella. 9 MUX G MUX G MUX G G MUX

21 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 7 Opetuskerta Sivu 4 Luku 7 Opetuskerta Sivu Kiinto- ja vaihtomuistin käyttö Luettele laitteita, joissa käytetään kiintomuistia ja/tai vaihtomuistia ja joissa sillä/niillä on laitteen toiminnan kannalta keskeinen merkitys. Laite Kiintomuistia Vaihtomuistia Muistipiirin koko Muistipiirin koko on 6 Ki x 6 b Muistipiirin koko on 8 MiB Vastaa seuraaviin kysymyksiin, jos voit: Yksikkö Ki kibi Mi mebi Gi gibi Koko Mikä on muistipiirin sananpituus Montako sanaosoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Mikä on muistipiirin sananpituus Montako osoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina.

22 igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 7 Opetuskerta Sivu Luku 7 Opetuskerta Sivu Flash-muistityypit NOR ja NAN Yhdistä seuraavat ominaisuudet ja käyttökohteet eri Flash-muistityyppeihin. Muistipiirin osoiteavaruuden laajentaminen Täydennä oheisena esitetyn Mi x 8 -muistin piirikaavio. 5 NOR-Flash igitaalikamera Halpa Kaksi bittiä / muistisolu Kallis Luotettava Muistikortti Muistitikku Ohjelmamuisti Pieni kapasiteetti PL-piirin ohjelma Suuri kapasiteetti Yksi bitti / muistisolu NAN-Flash A-A9 A R WR RAM MX8 AR CS ATA REA WRITE RAM MX8 AR CS ATA REA WRITE -7 Vaihtomuistipiirin toiminta Vastaa alla esitettyihin viereiseen vaihtomuistipiiriin liittyviin kysymyksiin: Mikä on muistipiirin sananpituus Montako osoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Mikä on muistipiirin osoiteavaruus Mikä on signaalin CS merkitys Mikä on signaalin R merkitys Mikä on signaalin WR merkitys A A A CS R WR RAM MX8 A G EN[REA] C[WRITE] A,/ Staattiset ja dynaamiset vaihtomuistit Yhdistä seuraavat vaihtomuistipiirien ominaisuudet ja käyttötarkoitukset oikeisiin kohteisiin. Staattinen vaihtomuisti R Haihtuva Halpa Muistielementti kondensaattori Muistielementti salpa Nopea Päämuisti R Rivi- ja sarakeosoite Suuri kapasiteetti Virkistettävä Välimuisti ynaaminen vaihtomuisti 6

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Pasi Vähämartti ITSEOPISKELU 1(10) CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Tutki data-kirjasta XC9500-sarjan CPLD piirin: 1. Arkkitehtuuri 2. Suurimman ja pienimmän piirin portti-, pinni- ja

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Tietokonetekniikka Edita, Seppo Haltsonen, Esko T. Rautanen

Tietokonetekniikka Edita, Seppo Haltsonen, Esko T. Rautanen SISÄLLYS 1 Tietokoneen toimintaperiaate ja käyttö... 14 1.1 Mikä tietokone on?... 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen... 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin

Lisätiedot

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki Ohjelmoitava päävahvistin WWK-951 Käyttöohje Anvia TV Oy Rengastie 10 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 3 3. Painikkeet...

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

Ohjelmoitava päävahvistin WWK-951LTE

Ohjelmoitava päävahvistin WWK-951LTE Ohjelmoitava päävahvistin WWK-951LTE Käyttöohje Finnsat Oy Yrittäjäntie 15 60100 Seinäjoki 020 7420 100 Sisällysluettelo 1. Yleistä tietoa... 2 2. Liitännät ja toiminnat... 3 3. Painikkeet... 4 4. Vahvistimen

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

Taitaja2004/Elektroniikka Semifinaali 19.11.2003

Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Teoriatehtävät Nimi: Oppilaitos: Ohje: Tehtävät ovat suurimmaksi osaksi vaihtoehtotehtäviä, mutta tarkoitus on, että lasket tehtävät ja valitset sitten

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät JOHDATUS ELEKTRONIIKKAAN Oppitunti 2 Elektroniikan järjestelmät 2 ELEKTRONIIKAN JÄRJESTELMÄT Aktiivisuusranneke Mittaa liikettä Keskustelee käyttäjän kanssa ledeillä ja värinällä Keskustelee radioiden

Lisätiedot

13. Loogiset operaatiot 13.1

13. Loogiset operaatiot 13.1 13. Loogiset operaatiot 13.1 Sisällys Loogiset operaatiot AND, OR, XOR ja NOT. Operaatioiden ehdollisuus. Bittioperaatiot. Loogiset operaatiot ohjausrakenteissa. Loogiset operaatiot ja laskentajärjestys.

Lisätiedot

AU Automaatiotekniikka. Toimilohko FB

AU Automaatiotekniikka. Toimilohko FB AU080401 Automaatiotekniikka Toimilohko FB Tarkoitus Dokumentissa kuvataan, mikä on toimilohko (FB) miten toimilohko muodostetaan ja miten sitä sovelletaan S7 ohjelmointiympäristössä (STEP7) mitä etua

Lisätiedot

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Nimi: Pisteet: Koulu: Lue liitteenä jaettu artikkeli Solar Lamp (Elector Electronics 9/2005) ja selvitä itsellesi laitteen toiminta. Tätä artikkelia

Lisätiedot

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin Ohjelmointitehtävänä on laatia ohjelma jääkaapin ovihälyttimelle. Hälytin toimii 3 V litium paristolla ja se sijoitetaan jääkaapin sisälle. Hälyttimen

Lisätiedot

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi.

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Lämpötilahälytin Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Laite koostuu Arduinokortista ja koekytkentälevystä. Hälyttimen toiminnat ohjelmoidaan Arduinolle.

Lisätiedot

Ohjausjärjestelmien jatkokurssi. Visual Basic vinkkejä ohjelmointiin

Ohjausjärjestelmien jatkokurssi. Visual Basic vinkkejä ohjelmointiin Ohjausjärjestelmien jatkokurssi Visual Basic vinkkejä ohjelmointiin http://www.techsoft.fi/oskillaattoripiirit.htm http://www.mol.fi/paikat/job.do?lang=fi&jobid=7852109&index=240&anchor=7852109 Yksiköt

Lisätiedot

AU Automaatiotekniikka. Funktio FC

AU Automaatiotekniikka. Funktio FC AU080401 Automaatiotekniikka Funktio FC Tarkoitus Dokumentissa kuvataan, mikä on funktio miksi funktioita kannattaa käyttää milloin funktioita kannattaa käyttää mitä asioita on huomioitava funktiota luotaessa

Lisätiedot

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Kilpailijan nimi: 1) Oheisen kytkennän kokonaisresistanssi on n. 33 Ohm 150 Ohm a) 70 Ohmia b) 100 Ohmia c) 120 Ohmia 120 Ohm 2) Oheisen kytkennän

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 TRIFITEK FINLAND OY 2012 V1.0 1. OHJELMISTO; ASENTAMINEN, KÄYTTÖ 1.1 Ohjelmiston asentaminen tietokoneeseen, Ajurin asentaminen Laitteen mukana toimitetaan muistitikulla

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja.

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja. Liikennevalot Laite koostuu Arduinokortista ja koekytkentälevystä. Liikennevalon toiminnat ohjelmoidaan Arduinolle. Ledit ja muut komponentit asetetaan koekytkentälevylle. Arduino toimii laitteen aivoina.

Lisätiedot

Ohjelmointiharjoituksia Arduino-ympäristössä

Ohjelmointiharjoituksia Arduino-ympäristössä Ohjelmointiharjoituksia Arduino-ympäristössä Yleistä Arduino-sovelluksen rakenne Syntaksi ja käytännöt Esimerkki ohjelman rakenteesta Muuttujat ja tietotyypit Tietotyypit Esimerkkejä tietotyypeistä Ehtolauseet

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Laitteiden sisäiseen tietoliikenteeseen on kehitetty omat dataväylänsä. Näistä tärkeimmät: 1 wire [ käyttää mm. Dallas, Maxim. ] I²C [ Trademark

Lisätiedot

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä? Miksi moniprosessorijärjestelmä? Laskentaa voidaan hajauttaa useammille prosessoreille nopeuden, modulaarisuuden ja luotettavuuden vaatimuksesta tai hajauttaminen voi helpottaa ohjelmointia. Voi olla järkevää

Lisätiedot

Ulkoiset mediakortit. Asiakirjan osanumero: Tässä oppaassa kerrotaan ulkoisten tallennusvälinekorttien käytöstä.

Ulkoiset mediakortit. Asiakirjan osanumero: Tässä oppaassa kerrotaan ulkoisten tallennusvälinekorttien käytöstä. Ulkoiset mediakortit Asiakirjan osanumero: 393506-351 Lokakuu 2005 Tässä oppaassa kerrotaan ulkoisten tallennusvälinekorttien käytöstä. Sisällysluettelo 1 Digitaalisten tallennusvälineiden korttipaikassa

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PSX DIN kisko kiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 3 numeron LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro:

TAITAJA 2007 ELEKTRONIIKKAFINAALI 31.01-02.02.07 KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro: KILPAILIJAN TEHTÄVÄT Kilpailijan nimi / Nro: Tehtävän laatinut: Hannu Laurikainen, Deltabit Oy Kilpailutehtävä Kilpailijalle annetaan tehtävässä tarvittavat ohjelmakoodit. Tämä ohjelma on tehty laitteen

Lisätiedot

2) Aliohjelma, jonka toiminta perustuu sivuvaikutuksiin: aliohjelma muuttaa parametrejaan tai globaaleja muuttujia, tulostaa jotakin jne.

2) Aliohjelma, jonka toiminta perustuu sivuvaikutuksiin: aliohjelma muuttaa parametrejaan tai globaaleja muuttujia, tulostaa jotakin jne. Proseduurit Proseduuri voi olla 1) Funktio, joka palauttaa jonkin arvon: real function sinc(x) real x sinc = sin(x)/x... y = sinc(1.5) 2) Aliohjelma, jonka toiminta perustuu sivuvaikutuksiin: aliohjelma

Lisätiedot

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä 2. Kirjoita hakukenttään:

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Tietokone. Tietokone ja ylläpito. Tietokone. Tietokone. Tietokone. Tietokone

Tietokone. Tietokone ja ylläpito. Tietokone. Tietokone. Tietokone. Tietokone ja ylläpito computer = laskija koostuu osista tulostuslaite näyttö, tulostin syöttölaite hiiri, näppäimistö tallennuslaite levy (keskusyksikössä) Keskusyksikkö suoritin prosessori emolevy muisti levy Suoritin

Lisätiedot

Näppäimistö CT 1000. Käyttäjäopas. Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi. CT1000v.5

Näppäimistö CT 1000. Käyttäjäopas. Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi. CT1000v.5 Näppäimistö CT 1000 Käyttäjäopas CT1000v.5 Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi Sivu 2 CT 1000 Rajoitukset Kaikki oikeudet tähän ohjekirjaan ovat Global Safety & Security

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG. AnviaTV Oy Yrittäjäntie 15, 6010 Seinäjoki,

Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG. AnviaTV Oy Yrittäjäntie 15, 6010 Seinäjoki, Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG KÄYTTÖ -JA ASENNUSOHJE Sisällysluettelo 1. Tärkeää tietoa... 2 1.1. Käytöstä poistettujen sähkö- ja elektroniikkalaiteiden hävittäminen

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

Sisällys. 1. Omat operaatiot. Yleistä operaatioista. Yleistä operaatioista

Sisällys. 1. Omat operaatiot. Yleistä operaatioista. Yleistä operaatioista Sisällys 1. Omat operaatiot Yleistä operaatioista. Mihin operaatioita tarvitaan? Oman operaation määrittely. Yleisesti, nimeäminen ja hyvä ohjelmointitapa, määreet, parametrit ja näkyvyys. HelloWorld-ohjelma

Lisätiedot

Toiminnallinen määrittely versio 1.2

Toiminnallinen määrittely versio 1.2 Toiminnallinen määrittely versio 1.2 Ryhmä 2 Sami Luomansuu, 168128, sami.luomansuu@tut.fi Panu Sjövall, 205401, panu.sjovall@tut.fi VERSIOHISTORIA Versio Päiväys Tekijät Tehdyt muutokset 1.0 02.10.12

Lisätiedot

MultiPlus-II 48/3000/ V (aiempi tuotenimi: MultiGrid-II)

MultiPlus-II 48/3000/ V (aiempi tuotenimi: MultiGrid-II) MultiPlus-II 48/3000/35-32 230V (aiempi tuotenimi: MultiGrid-II) Vertailu MultiGrid 48/3000/35-50 230V -malliin ja asennusohjeita 28-05-2018 1. Tekniset tiedot MultiPlus-II 48/3000/35 230V MultiGrid 48/3000/35-50

Lisätiedot

1. Omat operaatiot 1.1

1. Omat operaatiot 1.1 1. Omat operaatiot 1.1 Sisällys Yleistä operaatioista. Mihin operaatioita tarvitaan? Oman operaation määrittely. Yleisesti, nimeäminen ja hyvä ohjelmointitapa, määreet, parametrit ja näkyvyys. HelloWorld-ohjelma

Lisätiedot

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä

Lisätiedot

Muuttujatyypit ovat Boolean, Byte, Integer, Long, Double, Currency, Date, Object, String, Variant (oletus)

Muuttujatyypit ovat Boolean, Byte, Integer, Long, Double, Currency, Date, Object, String, Variant (oletus) VISUAL BASIC OHJEITA Kutsuttava ohjelma alkaa kometoparilla Sub... End Sub Sub ohjelmanimi()...koodia... End Sub Muuttujat Muuttujan esittely Muuttujatyypit ovat Boolean, Byte, Integer, Long, Double, Currency,

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

815338A Ohjelmointikielten periaatteet Harjoitus 3 vastaukset

815338A Ohjelmointikielten periaatteet Harjoitus 3 vastaukset 815338A Ohjelmointikielten periaatteet 2015-2016. Harjoitus 3 vastaukset Harjoituksen aiheena ovat imperatiivisten kielten muuttujiin liittyvät kysymykset. Tehtävä 1. Määritä muuttujien max_num, lista,

Lisätiedot

ADA. Ohjelmointikieli. Ryhmä 5 Henna Olli, Päivi Hietanen

ADA. Ohjelmointikieli. Ryhmä 5 Henna Olli, Päivi Hietanen ADA Ohjelmointikieli Ryhmä 5 Henna Olli, Päivi Hietanen 1 JOHDANTO Ada on käännettävä ohjelmointikieli, joka kehitettiin vähentämään sulautettujen ja reaaliaikaisten järjestelmien käyttökustannuksia. Kieli

Lisätiedot

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5)

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5) Alkuarvot ja tyyppimuunnokset (1/5) Aiemmin olemme jo antaneet muuttujille alkuarvoja, esimerkiksi: int luku = 123; Alkuarvon on oltava muuttujan tietotyypin mukainen, esimerkiksi int-muuttujilla kokonaisluku,

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PDX DIN kiskokiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 4 numeroinen LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

TiiMi Talotekniikka. LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL. v. 1.0

TiiMi Talotekniikka. LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL. v. 1.0 TiiMi Talotekniikka LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL v. 1.0 TiiMi Lattialämmitys on monipuolinen vesikiertoisen lattialämmityksen säätöjärjestelmä jota voidaan soveltaa myös sähköiseen lattialämmitykseen.

Lisätiedot

Etälukija PR 1000. Käyttäjäopas. Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi. PR1000v.2

Etälukija PR 1000. Käyttäjäopas. Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi. PR1000v.2 Etälukija PR 1000 Käyttäjäopas PR1000v.2 Global Safety & Security Solutions Oy E-mail: info@globalsafety.fi Sivu 2 PR 1000 Rajoitukset Kaikki oikeudet tähän ohjekirjaan ovat Global Safety & Security Solutions

Lisätiedot

13. Loogiset operaatiot 13.1

13. Loogiset operaatiot 13.1 13. Loogiset operaatiot 13.1 Sisällys Loogiset operaatiot AND, OR, XOR ja NOT. Operaatioiden ehdollisuus. Bittioperaatiot. Loogiset operaatiot ohjausrakenteissa. Loogiset operaatiot ja laskentajärjestys.

Lisätiedot