Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus

Koko: px
Aloita esitys sivulta:

Download "Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus"

Transkriptio

1 Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus

2 Digitaalitekniikan matematiikka Sivu 2 (57) Harjoitustyön 2 tavoitteet opitaan piirisuunnitteluprosessin vaiheita opitaan dokumentointia opitaan suunnittelemaan kombinaatiopiiri annetun määrittelyn pohjalta opitaan käyttämään piirisuunnitteluohjelmaa suunnitelman syöttöön (Design Entry) piirikaaviomuodossa suunnitellun piirin kääntämiseen (Compilation) eli sovittamiseen PLD-piiriin (näistä enemmän kevään opintojaksossa) piiritoteutuksen tutkimiseen PLD-piirin simulointiin PLD-piirin ohjelmointiin opitaan testaamaan toteutettu piiri

3 Digitaalitekniikan matematiikka Sivu 3 (57) Harjoitustyön 2 sisältö vaiheet, ajoitus ja työkalut Aiheen valinta ja varaus Tee työselostusta kaikkien vaiheiden aikana! Lohkokaaviosuunnittelu Piirisuunnittelu 1 HT-kerralla 1 ATK-luokassa Kynällä ja paperilla Office-sovelluksilla Piirisuunnittelu loppuun Piirikaavion piirtäminen 2 HT-kerralla 2 ATK-luokassa Office-sovelluksilla Quartus II -ohjelmalla Piirtäminen loppuun Käännös ja simulointi 3 HT-kerralla 3 ATK-luokassa Quartus II -ohjelmalla Office-sovelluksilla Päälohkokaavion piirtäminen Ohjelmointi ja testaus 4 HT-kerralla 4 ATK-luokassa Quartus II -ohjelmalla Harjoitustyöalustalla Office-sovelluksilla Harjoitustyökerta 5 on varattu työn loppuunsaattamiseen tarvittaessa

4 Digitaalitekniikan matematiikka Sivu 4 (57) Harjoitustyön 2 dokumentointi, luovutus, arvostelu ja palautus Henkilökohtainen työselostus dokumenttipohjalle laadittuna Quartus II -suunnittelutiedostot arkistoituna ja liitettynä työselostukseen Sijoita työselostus suunnittelutiedostoarkistoineen Tuubiin viimeistään Työ arvostellaan skaalalla hyväksytty - hylätty Tieto hyväksymisestä Tuubissa Hylätty työ palautetaan täydennettäväksi tai korjattavaksi Tuubiin Korjattu työ tulee toimittaa Tuubiin korjausohjeessa mainittuun päivämäärään mennessä Mikäli haluat tehdä työtä kotona asenna kotikoneeseesi Quartus II:n versio 9.1 sp 2 (pyydä tiedosto opettajalta, tarvitset vähintään 2-gigaisen muistitikun) pura opintojakson kotisivujen Harjoitustyöt-sivulta pakattu kansio IECSymbols.zip koneesi quartus-kansion libraries-alikansioon

5 Digitaalitekniikan matematiikka Sivu 5 (57) Työympäristön valmistelu ATK-luokassa 1 1) Tee Z:-levyllesi alla esitetyt kansiot (ei skandeja nimissä) Z: Z: - DigTeknMat Digitaalitekniikan matematiikan pääkansio - HarjTyo2Opiskelijatunnuksesi Harjoitustyön 2 pääkansiosi 2)Talleta työselostuspohja opintojakson verkon harjoitustyösivulta kansioon seuraavalla nimellä (ei skandeja) ja avaa se Wordiin - HarjTyo2Opiskelijatunnuksesi Harjoitustyön 2 pääkansiosi HarjTyo2RyhmasiSukunimesiEtunimesi.doc Työselostus 3) Avaa tämä ohje opintojakson harjoitustyösivulta Adobe Readeriin Täydennä työselostusta riittävän usein työtä tehdessäsi!

6 Digitaalitekniikan matematiikka Sivu 6 (57) Harjoitustyön lohko- ja piirisuunnitteluprosessi 1 Ensin ylhäältä alas (top-down) käsin Päälohkokaavio Totuustaulut Karnaugh'n kartat Lausekkeet Sitten alhaalta ylös (bottom-up) ohjelmalla Päälohkokaavio Piirikaavio

7 Päälohkokaavion laadinta Digitaalitekniikan matematiikka Sivu 7 (57) Tee työ joko ensin kynällä ja paperilla ja sitten PowerPointilla tai pelkästään PowerPointilla Päälohkokaaviossa vain yksi lohko Piirin tulosignaalit ja lähtösignaalit Tulot ja lähdöt saat tehtävän kuvauksesta Nimeä lohko ja signaalit heti harkitusti HK A Y ValOhj Esimerkki Valoohjain Käytä lohkon nimessä vain kirjaimia A Z, enintään 8 merkkiä esimerkissä ValOhj Piirrä signaaliviivat ja esitä signaalinimet esimerkissä HK, A, Y ja L Käytä signaalinimissä vain isoja kirjaimia A Z ja numeroita, enintään 8 merkkiä. Aloita signaalinimi kirjaimella. Signaalinimet S1, S2... S8 ja D1, D2... D8 on varattu. Älä käytä niitä Työselostus 4 L 1

8 Piirisuunnittelu Digitaalitekniikan matematiikka Sivu 8 (57) Laadi piirin lähtösignaalien totuustaulut Työselostus 5.1 Laadi piirin lähtösignaalien Karnaugh n kartat Työselostus 5.2 Muodosta piirin lähtösignaalien Työselostus 5.2 lausekkeet pyri mahdollisimman yksinkertaisiin lausekkeisiin Jos tulosignaaleja on enemmän kuin neljä lähtösignaalit riippuvat vain osasta tulosignaaleja jokainen lähtösignaali riippuu enintään neljästä tulosignaalista HK A Y L L Y Esimerkki Valoohjain HK A L = HK + A Y + A Y = HK + A Y 1 2

9 Digitaalitekniikan matematiikka Sivu 9 (57) Työn tallettaminen harjoitustyökerran lopussa Talleta omaan harjoitustyön 2 pääkansioosi Z:-levyllä seuraavat tiedostot: työselostus (Word) Quartus II -projektitiedostot arkistoituna tiedostona (.qar) Project Archive Project Kopioi koko harjoitustyön 2 pääkansiosi sisältö muistitikulle Lähetä työselostus ja arkistotiedosto itsellesi sähköpostilla Voit myös käyttää Tuubin välitallennusmahdollisuutta Nämä varmistelut saattavat tuntua ylimitoitetuilta Käytännön kokemus on osoittanut, että kaikkea voi sattua Z:-levylläsi olevat tiedostot ovat salaperäisesti hävinneet muistitikkusi on kadonnut tai sen sisältö on hävinnyt tai muuttunut et pääse Z:-levyllesi etkä sähköpostiin tms

10 Digitaalitekniikan matematiikka Sivu 10 (57) Työn jatkaminen seuraavalla harjoitustyökerralla Tarkasta, että työympäristösi on edelleen kunnossa katso kalvoa Työympäristön valmistelu ATK-luokassa (sivu 5) Ellei ole, saata se kuntoon (kopioi tiedostot Z:-levylle tarvittaessa sähköpostista, muistitikulta tai Tuubista) katso kalvoa Työympäristön valmistelu ATK-luokassa (sivu 5) Avaa työselostuksesi (Word) tämä ohje (Adobe Reader) Jos olet jo tehnyt työtä Quartus II:lla käynnistä Quartus II tee sitten sivulla 15 esitetyt asiat avaa lopuksi Quartus II -projekti Z:-levyltä File Open Project....qpf-tiedosto Tee työtä vain Z:-levyltä! Muista! 2 3 4

11 Quartus II -ohjelman esittely Digitaalitekniikan matematiikka Sivu 11 (57) Ohjelma digitaalipiirien suunnittelun syöttöön lohkokaavioina (käytämme tässä työssä) piirikaavioina (käytämme tässä työssä) tekstitiedostoina korkean tason kuvauskielellä (kevään työssä) valmislohkoina (käytämme tässä työssä) sovittamiseen Alteran valmistamiin ohjelmoitaviin logiikkaverkkoihin toiminnan verifiointiin (simulointi ja valmiin piirin toiminnan tutkiminen) verkkojen ohjelmointitiedostojen laadintaan ja ohjelmointiin Kattava kokonaisohjelmisto työn eri vaiheisiin (ei määrittelyyn) Suhteellisen helppokäyttöinen ja nopea oppia Soveltuu hyvin hierarkkiseen suunnitteluun sekä top-down että bottom-up (harjoitustyössä käytetään tätä) Windows XP, Vista ja 7 sekä Linux-ympäristöihin Luokassa versio 9.1 Quartus II kotikoneelle? Ks. tämän ohjeen sivu 4. 2

12 Digitaalitekniikan matematiikka Sivu 12 (57) Harjoitustyön Quartus II -suunnitteluprosessi Vaihe Työkalut Keskeiset tiedostot Piirikaavion piirtäminen Käännös eli sovitus piiriin Toteutuks. tutk. Lohko- ja piirikaavioeditori Kääntäjä Raportti-ikkuna Raportti-ikkuna ValOhj.bdf Tietokanta (db) ValOhj.rpt ValOhj.eqn Raportit Lopputulos Lausekeraportit Käännösraportit Simulointi Aaltomuotoeditori Simulaattori ValOhj.vwf ValOhj.sim.rpt Simul.-raportti Päälohkokaav. piirtäminen ja käännös Lohko- ja pk-edit. Kääntäjä PaaLohko.bdf Paalohko.rpt Käännösraportti Ohjelmointi Ohjelmoija PaaLohko.pof

13 Digitaalitekniikan matematiikka Sivu 13 (57) Quartus-työkansion tekeminen 2 Tee harjoitustyön 2 pääkansioosi työkansio Quartus II -ohjelman tekemille tiedostoille ja tietokannalle Anna kansiolle nimeksi suunniteltavan piirin nimi eli sama nimi, joka sillä on päälohkokaaviossa (katso sivu 7) esimerkissä ValOhj Z - DigTeknMat - HarjTyo2Opiskelijanumerosi - ValOhj Digitaalitekniikan matematiikan pääkansio Harjoitustyön 2 pääkansiosi ValOhj-piirin Quartus II -työkansio Sijoita kaikki tekemäsi Quartus II -tiedostot tähän työkansioon Älä siirtele tai kopioi tiedostoja kansiosta toiseen Älä tee uusia työkansioita Quartus II -tiedostoille Käytä työkansiolle antamaasi piirin nimeä jatkossa myös projektin ja sen keskeisten tiedostojen nimenä

14 Digitaalitekniikan matematiikka Sivu 14 (57) Quartus II -ohjelman käynnistys 2 Käynnistä Quartus II (kestää jonkin aikaa ) Työtila käyttäjän tiedostoille (piiri- ja lohkokaaviot jne.) ja raporteille Projekti-ikkuna: hierarkia, tiedostot, suunnitteluyksiköt Tehtäväikkuna: projektin vaiheet ja eteneminen Sanomaikkuna: sanomat, virheilmoitukset yms. Selosterivi: esimerkiksi painikkeiden kuvaukset

15 Digitaalitekniikan matematiikka Sivu 15 (57) Quartus II:n asetusmuutokset Avaa asetusmuutosikkuna: Tools Options... Tee seuraavat asetusmuutokset Quartus II:een aseta kääntäjä tekemään lauseketiedostot ja simulaattori päivittämään aikakaavio: Category: General Processing valitse määrittele IEC-symbolit sisältävä kirjasto IECSymbols käyttäjäkirjastoksi Category: General Global User Libraries (All Projects) hae ( ) C:\win\altera\91\quartus\libraries\IECSymbols napsauta ja muista painaa vielä tarkista, että em. kansio on tullut Libraries: -luetteloon aseta aaltomuotoeditorin kokonaisaika ja aika-askel Category: Waveform Editor muuta Default File End Time:n arvoksi 26 us muuta Default Grid Period:in arvoksi 100 ns napsauta 2

16 Uuden projektin käynnistys Digitaalitekniikan matematiikka Sivu 16 (57) 2 Jokainen suunnittelutyö on Quartus II:ssa projekti Projektilla on oma kansio, jossa sen tietokanta (db) ja tiedostot ovat Tiedostoilla on hierarkia: yksi suunnittelutiedostoista on päätiedosto Käynnistä uusi projekti projektivelhon (Project Wizard) avulla File New Project Wizard Introduction valitse projektikansioksi luomasi Quartus II:n työkansio (selaa esimerkissä ValOhj kirjoita projektin ja päätiedoston nimeksi piirin nimi esimerkissä ValOhj napsauta kahdesti valitse käytettävä piiriperhe ja piiri Family: MAX3000A Target device: Specific device selected in 'Available devices' list Available devices: EPM3064ALC44-10 napsauta :lla)

17 Piirikaavion piirtäminen 1 Digitaalitekniikan matematiikka Sivu 17 (57) Avaa uusi suunnittelutiedosto lohko- ja piirikaavioeditoriin File New... tai Block Diagram/Schematic File Talleta tyhjä tiedosto heti Quartus II -työkansioosi File Save As... anna tiedostonimeksi piirin nimi (esimerkissä ValOhj) ei skandeja (Å,Ä,Ö) eikä välilyöntejä tiedostonimessä varmista, että on valittuna ja paina Piirtämisessä hyödyllinen rasteri näkyville (ellei jo ole) View Show Guidelines Tutustu vasemman reunan pystytyökalurivin työkaluihin, katso kunkin työkalun kuvaus selosteriviltä Piirrä signaaliviivat Orthogonal Node Tool -viivatyökalulla 2 Esimerkki piirikaaviosta on seuraavalla sivulla Piirikaavion piirtämisohjeet jatkuvat esimerkin jälkeen

18 Piirikaavioesimerkki Digitaalitekniikan matematiikka Sivu 18 (57) 2 Tuloliitin Signaaliviiva Piirisymboli Symbolin kehys Lähtöliitin Piirikaavion piirtämisohjeet jatkuvat seuraavalla sivulla

19 Piirikaavion piirtäminen 2 Digitaalitekniikan matematiikka Sivu 19 (57) Valitse kirjoitustyökalu ja kirjoita piirustusalustan vasempaan yläkulmaan seuraavat tiedot: Digitaalitekniikan matematiikka Harjoitustyö 2 Työaiheesi numero ja nimi Piirikaavio Nimesi ja opiskelijanumerosi Opiskelijaryhmäsi Päivämäärä Nouda piirien symbolit, yksi kutakin tarvitsemaasi kaksoisnapsauta hiirellä piirustusalustaa tai napsauta symbolin valintaikkuna aukeaa laajenna ( ) kirjasto IECSymbols ja valitse siitä tarvitsemasi piiri näet piirin symbolin ikkunassa: tarkista, että se on oikea poista tarvittaessa valinta napsauta ja sijoita symboli sopivaan paikkaan 2

20 Piirikaavion piirtäminen 3 Digitaalitekniikan matematiikka Sivu 20 (57) Piirien lisäksi tarvitset jokaista tulosignaalia varten tuloliittimen ja jokaista lähtösignaalia varten lähtöliittimen kaksoisnapsauta hiirellä piirustusalustaa tai napsauta tuloliitin: kirjoita Name: -kenttään input, napsauta liitin haluamaasi paikkaan lähtöliitin: kirjoita Name: -kenttään output, napsauta liitin haluamaasi paikkaan Monista symboleita ja liittimiä tarvitsemasi määrä (pidä ctrl-painike painettuna ja raahaa hiirellä kopio haluamaasi paikkaan) Saat piirikaavion oman ikkunaansa napsauttamalla saat suuremman työskentelytilan voit palauttaa piirikaavion pääikkunaan napsauttamalla uudelleen Talleta tiedostosi riittävän usein työn kestäessä File Save tai ja sijoita ja sijoita 2

21 Piirikaavion piirtäminen 4 Digitaalitekniikan matematiikka Sivu 21 (57) Symbolin kehys Sijoita kaikki symbolit ja liittimet sopivasti piirustusalustalle Älä sijoita symboleja, liittimiä äläkä niiden kehyksiä osittainkaan toistensa päälle Varaa riittävästi (= enemmän kuin aluksi luuletkaan) tilaa signaaliviivoille Muuta tulo- ja lähtöliittimien signaalinimet suunnittelemasi piirin tulo- ja lähtösignaalinimiksi kaksoisnapsauta liitintä kirjoita signaalin nimi ikkunan kenttään Pin name(s): ja napsauta Yhdistä liittimet ja symbolit signaaliviivoilla viivatyökalulla kun viet kohdistimen symbolin liitäntäpisteeseen tai viivan päähän, voit piirtää viivan ja siihen enintään yhden kulman Älä piirrä signaaliviivaa symbolin äläkä sen kehyksen päälle Piirikaavion muokkaamisessa auttaa, kun pidät kuminauhaominaisuuden (rubberbanding) valittuna Tarkasta piirikaaviosi huolellisesti! Korjaa virheet, jos niitä löytyy! 2 3

22 Digitaalitekniikan matematiikka Sivu 22 (57) Piirikaavion määrittely päätason suunnitteluyksiköksi Valitse projekti-ikkunan (Project Navigator) välilehti Files Valitse piirikaaviotiedosto esimerkissä ValOhj.bdf 2 3 Määrittele se päätason suunnitteluyksiköksi Project Set as Top-Level Entity

23 Piirikaavion tarkastus Digitaalitekniikan matematiikka Sivu 23 (57) Tarkasta piirikaaviosi virheettömyys Processing Start Start Analysis & Synthesis tai Mikäli saat varoituksia tai virheilmoituksia sanomaikkunaan (Messages): 2 3 Kaksoisnapsauta ilmoitusta, niin se symboli, johon varoitus tai virhe liittyy, tulee valituksi Korjaa virheet ja tarkasta piirikaavio uudelleen, kunnes tarkastus onnistuu ilman varoituksia ja virheitä: korjausvinkkejä sivuilla Huom! Varoituksista tyyppiä ei tarvitse välittää Jos saat paljon punaisia virheilmoituksia, niin luultavasti symbolikirjasto on jostain syystä kadonnut (Quartuksen bugi?) Hae symbolikirjasto uudelleen sivun 15 ohjeen mukaan ja toista piirikaavion tarkastus. Jos edelleen tulee punaisia virheilmoituksia, niin vika on muualla. Työselostus 6.1 ja 6.2

24 Digitaalitekniikan matematiikka Sivu 24 (57) Mitä käännöksessä tehdään? Käännöksessä on neljä osaa analyysi ja synteesi (Analysis & Synthesis) piiriin sovittaminen (Fitting, työkalu Fitter) ohjelmointitiedostojen laatiminen (Assembly, työkalu Assembler) ajoitusanalyysi (Timing analysis, työkalu Classic Timing Analyzer) Analyysivaiheessa Quartus II -ohjelma analysoi suunnittelutiedostot ja raportoi löytämistään muotovirheistä. Synteesissä muodostetaan suunnittelutiedostoista yhteinen tietokanta Fitter sovittaa suunnitelman ohjelmoitavaan logiikkaverkkopiiriin ohjelmalle voidaan antaa vapaus valita parhaiten sopiva piirityyppi piirityyppi voi olla valittu (esimerkiksi EPM3064ALC44-10) Assembler tekee ohjelmointitiedostot piirien ohjelmointia varten Ajoitusanalyysissa selvitetään piirien viiveet ja analysoidaan viiveisiin liittyviä virhetoimintamahdollisuuksia Käännösraportista nähdään monenlaisia käännökseen liittyviä tietoja 3

25 Digitaalitekniikan matematiikka Sivu 25 (57) Käännös eli sovitus ohjelmoitavaan logiikkaverkkoon Käynnistä käännös Processing Start Compilation tai Tehtäväikkuna (Tasks) näyttäät käännöksen eri vaiheet ja niiden suoritusasteen Sanomaikkuna ilmoittaa käännöksen etenemisestä sekä antaa tarvittaessa varoituksia ja virheilmoituksia seuraavantyyppisiä varoituksia saat, niistä ei tarvitse välittää: 3 Korjaa virheet: korjausvinkkejä sivuilla Käännä uudelleen, kunnes käännös on virheetön

26 Digitaalitekniikan matematiikka Sivu 26 (57) Toteutuksen lausekkeiden tutkiminen (lisätehtävä) Käännöksen alkaessa tulee näkyville käännösraportti-ikkuna Valitse ikkunan kohdasta Fitter alakohta Equations raportissa lausekkeet on esitetty seuraavan esimerkin mukaisesti C1L1_p1_out =!A & Y; C1L1_p2_out = A &!Y; C1L1_or_out = C1L1_p1_out # C1L1_p2_out # HK; C1L1 = C1L1_or_out; A = INPUT(); Y = INPUT(); HK = INPUT(); Tulotermin p1 lauseke: & = JA,! = EI SOP-lauseke Tulosignaalien nimet Tulotermin p2 lauseke: & = JA,! = EI Tulojen summan lauseke: # = TAI L = OUTPUT(C1L1); Lähtösignaalin L sisäinen nimi on C1L1 jos olisi esimerkiksi C1L1 =!C1L1_or_out; I-SOP-lauseke:! = EI Vertaa lausekkeita Karnaugh'n kartoista saamiisi lausekkeisiin Työselostus 7.1 ja 7.2 3

27 Viiveanalyysi Digitaalitekniikan matematiikka Sivu 27 (57) 3 Valitse käännösraportti-ikkunan kohdasta Timing Analyzer alakohta tpd Taulukosta näet piirin etenemisviiveen kunkin tulosignaalin muutoksesta kunkin lähtösignaalin muutokseen esimerkissä kaikki viiveet ovat pituudeltaan 10 ns Työselostus 8

28 Mitä simulointi on? Digitaalitekniikan matematiikka Sivu 28 (57) Simuloinnissa tutkitaan piirin käännöksen signaalien arvoja eli vasteita, kun sen tulosignaaleille annetaan erilaisia arvoja eli herätteitä Voidaan tutkia lähtösignaaleja (signaalin arvo ja viive tulosignaalimuutoksesta) piirin sisäisiä signaaleja (tästä saattaa joskus olla hyötyä) Simuloinnilla pyritään varmistumaan seuraavista asioista: piiri toimii määrittelyn mukaisesti normaaleissa käyttötilanteissa piiri toimii hyväksyttävästi poikkeustilanteissa Simuloinnin herätetiedosto tehdään aaltomuotoeditorilla (Waveform Editor) Simulointi tehdään simulaattorilla (Simulator) 3

29 Digitaalitekniikan matematiikka Sivu 29 (57) Simuloinnin herätetiedoston laadinta 1 Luo aaltomuotoeditorilla uusi aaltomuototiedosto File New... tai Verification/Debugging Files Vector Waveform File Talleta tyhjä tiedosto heti Quartus II -työhakemistoosi File Save as... anna piirikaavion tiedostonimi, tarkenne.vwf esimerkissä ValOhj.vwf Etsi simuloitavat signaalit (kaikki tulo- ja lähtösignaalit) Edit Insert Insert Node or Bus varmista, että kohdassa Filter lukee Pins: all tai Pins: unassigned napsauta kaikki tulo- ja lähtösignaalit ilmestyvät vasemmanpuoleiseen ruutuun esimerkissä A, HK, L ja Y 3

30 Digitaalitekniikan matematiikka Sivu 30 (57) Simuloinnin herätetiedoston laadinta 2 Lisää simuloitavat signaalit aaltomuototiedostoon napsauta valitsemasi signaalit ilmestyvät oikeanpuoleiseen ruutuun niin, että signaalinimeä edeltää piirin nimi esimerkissä ValOhj A, ValOhj HK, ValOhj L ja ValOhj Y napsauta kahdesti Sovita aikaskaala niin, että koko simulointiaika on näkyvissä View Fit in Window Järjestä tarvittaessa signaalit uuteen järjestykseen napsauta signaalia (muuttuu vaaleansiniseksi), valitse se hiirellä ja vedä uuteen paikkaan tulot ylimmiksi niin että ne ovat samassa järjestyksessä kuin totuustaulussa lähdöt alimmiksi niin että ne ovat samassa järjestyksessä kuin totuustaulussa Esimerkki simuloinnin herätetiedostosta on seuraavalla sivulla 3

31 Digitaalitekniikan matematiikka Sivu 31 (57) Simuloinnin herätetiedostoesimerkki 1 Esimerkki simuloinnin herätetiedostosta (ValOhj.vwf) ennen tulosignaalien aaltomuotojen määrittelyä 3 Laadintaohjeet jatkuvat seuraavalla sivulla Tulosignaalien arvo on 0 Lähtösignaalin arvo on määrittelemätön

32 Digitaalitekniikan matematiikka Sivu 32 (57) Simuloinnin herätetiedoston laadinta 3 Tavoitteena on saada simuloinnin herätetiedostoon kaikki tulosignaalien erilaiset yhdistelmät Tällöin on esitetty piirin totuustaulu aikakaaviomuodossa siitä on helppo nähdä, toimiiko piiri oikein Määrittele tulosignaaleille aaltomuodot valitse alin tulosignaali (esimerkissä Y) napsauttamalla sen nimeä napsauta pystytyökalupalkissa olevaa jaksollisen aaltomuodon määrittelypainiketta Count Value -ikkuna aukeaa napsauta signaalin riville tulee muutoksia 100 ns välein valitse suurennus/pienennystyökalu : hiiren vasen painike suurentaa ja oikea painike pienentää suurenna kuvaa, kunnes simulointijakso 100 ns on noin 1 cm:n mittainen 3

33 Digitaalitekniikan matematiikka Sivu 33 (57) Simuloinnin herätetiedoston laadinta 4 Määrittele tulosignaaleille aaltomuodot (jatkuu) valitse seuraava tulosignaali (esimerkissä A) signaalilla Y on kaksi erilaista arvoa 0 ja 1 A saa muuttua vasta kahden simulointijakson jälkeen napsauta jaksollisen aaltomuodon määrittelypainiketta Count Value -ikkunan Timing-välilehdellä oleva Multiplied by -kentän arvo määrää, miten monta simulointijaksoa signaali pysyy samassa arvossa ennen muuttumistaan se pitää valita niin, että alempi signaali on käynyt läpi kaikki vaihtoehtonsa ennenkuin ylempi muuttuu arvo on yleensä jokin kahden kokonainen potenssi esimerkissä Y:llä on kaksi erilaista vaihtoehtoa A:n Multiplied by - arvo = 2 valitse Timing-välilehti kirjoita sopiva Multiplied by -arvo napsauta 3

34 Digitaalitekniikan matematiikka Sivu 34 (57) Simuloinnin herätetiedoston laadinta 5 Määrittele tulosignaaleille aaltomuodot (jatkuu) valitse seuraava tulosignaali (esimerkissä HK) signaalilla A on 2 erilaista arvoa 0 ja 1 HK saa muuttua vasta 2 x 2 = 4:n simulointijakson jälkeen HK:n Multiplied by -arvo = 4 napsauta jaksollisen aaltomuodon määrittelypainiketta valitse Timing-välilehti kirjoita sopiva Multiplied by -arvo napsauta jatka, kunnes olet määritellyt kaikki tulosignaalit 3 Esimerkki simuloinnin kokonaan määritellystä herätetiedostosta on seuraavalla sivulla

35 Digitaalitekniikan matematiikka Sivu 35 (57) Simuloinnin herätetiedostoesimerkki 2 Esimerkin (ValOhj) simuloinnin herätetiedoston ValOhj.vwf alkuosa, kun kaikki tulosignaalit on määritelty 3 Simulointijakso 100 ns Kaikki HK:n, A:n ja Y:n arvoyhdistelmät

36 Simulointi ja sen kattavuus Käynnistä simulaattori Digitaalitekniikan matematiikka Sivu 36 (57) Processing Start Simulation tai Simulaattori ajaa simulaation ja tekee simulointiraportin Simulation Report - Simulation Waveforms -ikkuna aukeaa erillinen ikkuna kertoo simuloinnin onnistumisesta tai virheistä sulje se napsauttamalla Katso sanoma (Messages) -ikkunasta simuloinnin kattavuus (Simulation Coverage) eli se, mikä osa erilaisista tulosignaalivaihtoehdoista on käyty simuloinnissa läpi simuloinnin kattavuuden tulee olla 100 % Valitse aaltomuototiedosto esimerkissä ValOhj.vwf Työselostus 9.1 Quartus II kysyy, päivitetäänkö aaltomuototiedoston simulointitulokset napsauta ellei kysy, simulointi epäonnistui, jolloin simuloi uudelleen 3

37 Simulointiesimerkki Digitaalitekniikan matematiikka Sivu 37 (57) Esimerkin (ValOhj) simulointitiedoston ValOhj.vwf alkuosa, kun simulointi on tehty 3 Normaalitoiminta Huoltotoiminta Simulointiohjeet jatkuvat seuraavalla sivulla

38 Simulointituloksen vertailu Digitaalitekniikan matematiikka Sivu 38 (57) Muuta tarvittaessa aikaskaalaa, kuten edellä on esitetty Käy läpi simulointitulos vertaa tulosta toiminnan määrittelyyn ja totuustauluihin Mikäli tulos vastaa näitä, kaikki on kunnossa Ellei simulointitulos vastaa haluttua toimintaa etsi poikkeaman syy tutki totuustaulusi ja Karnaugh'n karttasi selvitä, onko sievennyksessä tullut virhe lausekkeisiin käy läpi piirikaavio ja tarkista, että se vastaa lausekkeita tee korjaus tai korjauksia tarvittaviin paikkoihin käännä piirikaavio uudelleen simuloi uudelleen, kunnes saat haluttua toimintaa vastaavan tuloksen 3 Työselostus 9.2 ja 9.3

39 Digitaalitekniikan matematiikka Sivu 39 (57) Päälohkokaavion piirtämisen valmistelu 1 Piiri ohjelmoidaan logiikkaverkkoon, joka on harjoitustyöalustassa Ohjelmointia varten tarvitaan päälohkokaavio, jossa on määritelty logiikkaverkon liitäntänastojen kytkentä piirisi tulo- ja lähtösignaaleihin päälohkokaavion pohja on verkossa opintojakson harjoitustyösivulla Quartus II -arkistona PaaLohko.qar Kopioi tiedosto PaaLohko.qar Quartus II -työkansioosi Avaa projekti PaaLohko (noudata ohjetta pilkuntarkasti!) File Open Project Open Project -ikkuna aukeaa valitse PaaLohko.qar ja napsauta Restore Archived Project -ikkuna aukeaa älä hyväksy Quartus II:n ehdottamaa Destination folder: -kansiota PaaLohko_restored, vaan valitse ( ) Destination folder: -kenttään Quartus II -työkansiosi (esimerkissä ValOhj) 4

40 Digitaalitekniikan matematiikka Sivu 40 (57) Päälohkokaavion piirtämisen valmistelu 2 Projektin PaaLohko avaaminen jatkuu... napsauta Restore Archived Project -ikkunassa Quartus II ilmoittaa, että uusi projekti sulkee käynnissä olevan ja kysyy, haluatko jatkaa. Napsauta Project Restoration Complete -ikkuna aukeaa. Napsauta Open Project -ikkuna aukeaa. Valitse PaaLohko.qpf ja napsauta mikäli saat Fatal Error -virheilmoituksen, napsauta Quartus II sulkeutuu käynnistä Quartus II uudelleen avaa projekti PaaLohko.qpf File Open Project PaaLohko.qpf 4

41 Digitaalitekniikan matematiikka Sivu 41 (57) Päälohkokaavion piirtämisen valmistelu 3 Lisää laatimasi piirikaavio ja sen simulointitiedosto PaaLohko-projektiin Project Add/Remove Files in Project... Settings - PaaLohko Files napsauta Select File -ikkuna aukeaa valitse ikkunan alalaidasta tiedostotyypiksi All files (*.*) valitse piirikaaviosi ja piirin simulointitiedosto (pidä Ctrl alhaalla) esimerkissä ValOhj.bdf ja ValOhj.wvf napsauta napsauta Files-ikkunassa 4

42 Päälohkokaavioesimerkki Digitaalitekniikan matematiikka Sivu 42 (57) 4 Päälohkokaavion piirtämisohjeet alkavat seuraavalta sivulta

43 Digitaalitekniikan matematiikka Sivu 43 (57) Päälohkokaavion piirtäminen 1 Avaa piirikaaviosi ikkuna Project Navigator-ikkuna Files-välilehti kaksoisnapsauta piirikaaviosi nimeä (esimerkissä ValOhj.bdf) Luo piirikaaviolle lohkosymboli File Create / Update Create Symbol Files for Current File varmista, että symbolitiedosto (esimerkissä ValOhj.bsf) tallentuu työkansioosi napsauta ja Valitse aktiiviseksi välilehti, jossa päälohkokaavio PaaLohko.bdf on Valitse kirjoitustyökalu ja muuta piirustusalustan vasemman yläkulman seuraavat tiedot vastaamaan omaa työtäsi Työaiheesi numero ja nimi Nimesi ja opiskelijanumerosi Opiskelijaryhmäsi Päivämäärä 4

44 Digitaalitekniikan matematiikka Sivu 44 (57) Päälohkokaavion piirtäminen 2 Nouda piirikaaviosi symboli kaksoisnapsauta hiirellä piirustusalustaa tai valitse valitse piirikaaviosi symboli kirjastosta Project (napsauta ) Napsauta ja sijoita symboli tulo- ja lähtöliittimien väliin Älä poista ylimääräisiä tulo- tai lähtöliittimiä Älä muuta tuloliittimissä olevia signaalinimiä S1, S2... S8 äläkä lähtöliittimissä olevia signaalinimiä D1, D2... D8 Yhdistä lohkosymbolin tulosignaalit tuloliittimiin S1:stä alkaen Jätä käyttämättä jäävät S-signaalit kytkemättä Yhdistä lohkosymbolin lähtösignaalit lähtöliittimiin D1:stä alkaen Kytke käyttämättä jäävät lähtöliittimet maasymboliin (GND) 4 Katso päälohkokaavioesimerkkiä sivulta 41

45 Digitaalitekniikan matematiikka Sivu 45 (57) Päälohkokaavion määrittely päätason suunnitteluyksiköksi ja käännös 4 Valitse projekti-ikkunan (Project Navigator) välilehti Files Valitse tiedosto PaaLohko.bdf Määrittele se päätason suunnitteluyksiköksi Project Set as Top-Level Entity Käynnistä käännös Processing Start Compilation tai

46 Käännös 2 Digitaalitekniikan matematiikka Sivu 46 (57) 4 Tilanneikkuna näyttää käännöksen eri vaiheet ja niiden suoritusasteen Sanomaikkuna ilmoittaa käännöksen etenemisestä sekä antaa tarvittaessa varoituksia ja virheilmoituksia seuraavantyyppisiä varoituksia saat; niistä ei yleensä tarvitse välittää: Käyttämättömät tulot Korjaa virheet, jos niitä on Käännä uudelleen, kunnes käännös on virheetön Liitä päälohkokaavio työselostukseen Työselostus 10 Maahan kytketyt lähdöt

47 Digitaalitekniikan matematiikka Sivu 47 (57) Projektin arkistointi ja arkiston liittäminen työselostukseen Projektin arkistotiedosto sisältää yhtenä pakattuna tiedostona projektin keskeiset tiedostot Projekti voidaan tarvittaessa käynnistää arkistotiedostosta Arkistotiedostoa käytetään harjoitustyön arvioinnissa Arkistoi projekti Valitse Project-valikosta projektin arkistointi Project Archive Project Archive Project -ikkuna aukeaa Kirjoita kohtaan Archive file name: paalohko (se todennäköisesti on siinä jo valmiiksi) Napsauta ja Liitä arkisto työselostukseen siinä esitetyllä tavalla Työselostus 11 4

48 Digitaalitekniikan matematiikka Sivu 48 (57) Testaussuunnitelman laatiminen Muokkaa totuustaulustasi testaussuunnitelma Testaussuunnitelmaesimerkki on alla (ValOhj) 4 Signaalin nimi Kytkimen tai valodiodin tunnus Heräte Odotettu vaste Vaste Todellinen eli vaste OK/Ei OK Testaaja HK A Y L L lähtösignaalien arvot S3 S1 S2 D1 D Heräte eli tulosignaalien arvot Työselostus 12 Täytetään vasta testattaessa

49 Digitaalitekniikan matematiikka Sivu 49 (57) Ohjelmoinnin valmistelu 4 [Kopioi Quartus II -työkansiosi sisältö muistitikulle] Ei tarvitse kopioida. [Siirry tietokoneelle, jossa on ohjelmointikaapeli (eturivissä)] Ei tarvitse siirtyä. Käynnistä Quartus II (ellei jo ole käynnissä) Varmista, että IECSymbols on valittu käyttäjäkirjastoksi (katso sivua 15) Avaa PaaLohko-projekti Quartus II -työkansiostasi File Open Project... PaaLohko.qpf Valitse projekti-ikkunan (Project Navigator) välilehti Files Valitse tiedosto PaaLohko.bdf Määrittele se päätason suunnitteluyksiköksi Project Set as Top-Level Entity Käynnistä käännös Processing Start Compilation tai Totea käännöksen onnistuminen vain sallitut varoitukset (katso sivua 45) tulo- ja lähtöliittimien päissä ovat liitäntänastojen numerot

50 Digitaalitekniikan matematiikka Sivu 50 (57) Harjoitustyöalustaan tutustuminen 4 Tutustu harjoitustyöalustaan JTAG-liitin (ohjelmointi USB- Blasterilla) Valodiodit D1-D8 (lähtösignaalit) Ohjelmoitava logiikkaverkko (PLD-piiri) Virtalähdeliitin Rinnakkaisliitin (ohjelmointi rinnakkaisliitäntäkaapelilla) Eivät käytössä Kytkimet S1-S8 (tulosignaalit)

51 Digitaalitekniikan matematiikka Sivu 51 (57) Ohjelmoitavan logiikkaverkon ohjelmointi Liitä harjoitustyöalusta tietokoneeseen USB-Blasterilla (Terasic Blaster) niin, että lattakaapelin punainen reuna on ylöspäin Kytke sähkö harjoitustyöalustaan Tarkasta, että vihreä valodiodi palaa Käynnistä ohjelmointi Tools Programmer tai Tarkasta, että aukeavan ohjelmointivälilehden ylimmässä kentässä lukee USB-Blaster [USB-0] jos lukee No Hardware, napsauta valitse kohtaan Hardware type: USB-Blaster [USB-0] ja napsauta Tarkasta, että kohdassa File on tiedostonimi PaaLohko.pof kohdassa Device on piirin tyyppi EPM3064AL44 elleivät ole, poista tiedosto ja lisää PaaLohko.pof Rastita Program/Configure ja Verify Napsauta 4

52 Toiminnan testaus Digitaalitekniikan matematiikka Sivu 52 (57) Irroita virtaliitin harjoitustyöalustasta Irroita USB-Blaster harjoitustyöalustasta Liitä virtaliitin uudelleen harjoitustyöalustaan Testaa toiminta anna kytkimillä testaussuunnitelman mukaiset herätteet eli tulosignaalien arvot katso valodiodeista vasteet eli lähtösignaalien arvot täydennä testaussuunnitelman kopio testiraportiksi lisää todelliset vasteet lisää OK/Ei OK -maininta (Ei OK, jos todellinen vaste eroaa odotetusta vasteesta) lisää testaajan puumerkki Testiraporttiesimerkki (ValOhj) on seuraavalla sivulla Työselostus 13.1 ja 13.2 Täytä lopuksi selostuksen kohdat 1-3 ja sijoita se Tuubiin Työselostus 1-3 4

53 Testiraporttiesimerkki Digitaalitekniikan matematiikka Sivu 53 (57) 4 Heräte Odotettu vaste Lisätään Todellinen harjoitustyöalustasta vaste OK/Ei OK Testaaja havaitut HK S3 A S1 Y S2 L D1 todelliset Lvasteet, OK/Ei OK -merkinnät D1 ja testaajan puumerkki OK Fe OK Fe OK Fe OK Fe OK Fe OK Fe OK Fe OK Fe

54 Digitaalitekniikan matematiikka Sivu 54 (57) Entä jos tulee ongelmia? - yleistä Tarkista perusasiat oletko luonut tai avannut projektin? onko projektin päätiedostoksi määritelty käsiteltävä tiedosto? onko oikea ikkuna valittuna? (valikko muuttuu sovellusta vastaavaksi) onko oikea työkalu valittuna? (pystyrivin valikko) oletko kääntänyt piirikaavion tai päälohkokaavion uudelleen muutosten jälkeen? Käytä Quartus II:n erilaisia aputoimintoja Help-valikon eri vaihtoehdot, erityisesti How to Use Help Help-ikkuna -painikkeella täsmäapu painamalla F1 Tee yhteistyötä: kysy naapurilta, häneltä voi löytyä ratkaisu ATK-luokassa: hälytä Ferro paikalle, ellei muu auta Lähetä Ferrolle sähköpostia (muista kuvata ongelma riittävän tarkasti) tai käytä työtilan keskustelupalstaa Tuubissa

55 Digitaalitekniikan matematiikka Sivu 55 (57) Entä jos tulee ongelmia? - virheilmoitusvinkkejä 1 Piirikaavion tarkastuksessa tai käännöksessä tulee virheilmoitus Syy: Error: Node instance "instx" instantiates undefined entity "yyy-iec" IECSymbols-symbolikirjastoa ei ole määritelty käyttäjäkirjastoksi Tee: sivun 15 mukaiset alkuasetukset Piirikaavion tarkastuksessa tai käännöksessä tulee virheilmoitus Error: Net "xxx_tempz", which fans out to "yyy", cannot be assigned more than one value Syy 1: olet piirtänyt signaaliviivan jonkin symbolin tai sen kehyksen päälle tai kehyksen reunaviivaa pitkin Tee: poista viiva, väljennä tarvittaessa piirikaaviota ja piirrä viiva uudelleen toiseen paikkaan Syy 2: olet yhdistänyt kaksi tulo- tai lähtösignaalia suoraan keskenään Tee: poista yhdistäminen ja korvaa se tarvittaessa sopivalla portilla

56 Digitaalitekniikan matematiikka Sivu 56 (57) Entä jos tulee ongelmia? - virheilmoitusvinkkejä 2 Piirikaavion tarkastuksessa tai käännöksessä tulee virheilmoitus Error: Port "X" of type yyy-iec of instance "instz" is missing source signal Syy: yyy-portti, jonka numero (vas. alakulm.) on z, ei saa tulosignaalia Tee: kytke kaikki porttien tulot joko tuloliittimeen, portin lähtöön, kiinteään nollaan (GND) tai kiinteään ykköseen (VCC) Piirikaavion tarkastuksessa tai käännöksessä tulee toinen tai molemmat seuraavista varoituksista Syy: Warning: Output pins are stuck at VCC or GND Warning: Design contains X input pin(s) that do not drive logic jokin tulo on jätetty kytkemättä tai piirikaaviossa on virhe Tee: laajenna virheilmoitus, jolloin näet tarkemmat tiedot, ja kytke kaikki tai korjaa piirikaavio Huom! Päälohkokaavion käännöksessä tällainen varoitus tulee maahan tarkoituksella kytketyistä lähdöistä tai käyttämättä jääneistä tuloista eikä siitä silloin tarvitse välittää

57 Digitaalitekniikan matematiikka Sivu 57 (57) Entä jos tulee ongelmia? - erityisvinkkejä Jos painikkeet ovat harmaita etkä voi valikoistakaan valita piirikaavion tarkastusta tai käännöstä, et ole luonut tai avannut projektia. Tee se! Jos hukkaat piirikaaviosi tai aaltomuotoeditori näyttää vain samaa arvoa koko alueella, kokeile View Fit in Window Mikäli työtilasta häviävät välilehtien valintaliuskat, valitse Tools Options Category: General Jos kaikki tuntuu menevän pieleen eikä mikään toimi sulje Quartus II poista Quartus II -työkansiosta tietokanta (kansiot db ja incrementaldb) ja kaikki muut kuin.qpf-,.qsf-,.bdf-,.bsf- ja.vwf-tiedostot sulje ja uudelleenkäynnistä tietokone käynnistä Quartus II, avaa projekti ja aloita uudelleen

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

Peltotuki Pron Lohkotietopankkimoduli tärkkelysperunalle

Peltotuki Pron Lohkotietopankkimoduli tärkkelysperunalle Peltotuki Pron Lohkotietopankkimoduli tärkkelysperunalle Versio 2008.1 15.10.2008 ohje 15.10.2008 Asennus, käyttöönotto ja päätoiminnot Ohjelmiston tarkoitus Ohjelmiston tarkoitus on yhdistää Peltotuki

Lisätiedot

TEHTÄVÄ 1.1 RATKAISUOHJEET

TEHTÄVÄ 1.1 RATKAISUOHJEET TEHTÄVÄ 1.1 RATKAISUOHJEET 1. Luo mallin mukainen kansiorakenne Käynnistä Resurssienhallinta painamalla näppäimistöltä peräkkäin Windows- ja E-näppäimiä niin, että Windows-näppäin on yhä pohjassa, kun

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

E-RESULTS LITE -OHJEET

E-RESULTS LITE -OHJEET E-RESULTS LITE -OHJEET 1 ALKUVALMISTELUT Huolehdi ennen rastiesi pitoa, että Tulospalvelutietokoneen akku on ladattu täyteen Seuran EMIT-kortit ovat tallessa ja selkeästi erillään lähtöleimasimesta. Lähtö-

Lisätiedot

SSH Secure Shell & SSH File Transfer

SSH Secure Shell & SSH File Transfer SSH Secure Shell & SSH File Transfer TIETOHALLINTO Janne Suvanto 1.9 2002 Sisällysluettelo Sisällysluettelo... 1 Yleistä... 2 SSH Secure Shell ohjelman asetukset... 3 POP3 tunnelin asetukset... 6 Yhteyden

Lisätiedot

TALLENNETAAN MUISTITIKULLE JA MUISTIKORTILLE

TALLENNETAAN MUISTITIKULLE JA MUISTIKORTILLE TALLENNETAAN MUISTITIKULLE JA MUISTIKORTILLE HERVANNAN KIRJASTON TIETOTORI Insinöörinkatu 38 33720 Tampere 040 800 7805 tietotori.hervanta@tampere.fi TALLENNETAAN MUISTIKULLE JA MUISTIKORTILLE 1 Muistitikun

Lisätiedot

Kopio saamasi pelaajatiedosto (.plr) Game01-alikansioon. Valitse pelissä Continue Campaign.

Kopio saamasi pelaajatiedosto (.plr) Game01-alikansioon. Valitse pelissä Continue Campaign. Pura Zip haluamaasi kansioon. Kaksoisnapsauta Campaign-exe-tiedostoa. Ensimmäisen käynnistyksen yhteydessä ohjelma kysyy omaa polkuaan, joka on helppo kopioida suoraan Windows Explorerin (Resurssienhallinnan)

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Muistitikun liittäminen tietokoneeseen

Muistitikun liittäminen tietokoneeseen Muistitikun käyttäminen 1 Muistitikun liittäminen tietokoneeseen Muistitikku liitetään tietokoneen USB-porttiin. Koneessa voi olla useita USB-portteja ja tikun voi liittää mihin tahansa niistä. USB-portti

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

STELLARIUM KÄYTTÖOHJE

STELLARIUM KÄYTTÖOHJE Järjestelmävaatimukset: Windows (XP, Vista, 7) DirectX 9.x ja uusin ServicePack tai MacOS X 10.3.x (tai suurempi), 3D-grafi ikkkortti ja OpenGL-tuki, min. 512 MB RAM, 1 GB vapaata levytilaa. ASENNUS Asennus

Lisätiedot

Adobe Premiere 6.0 ohjelmasta

Adobe Premiere 6.0 ohjelmasta 1 Adobe Premiere 6.0 ohjelmasta 1. Ohjelman käynnistys...2 2 Ohjelman näkymän esittely...3 Työskentelytila...3 3 VIDEON KAAPPAUS:...6 3.1. Tallennuspaikka valitaan valitsemalla...6 3. 2. Kaappaus aloitetaan

Lisätiedot

1 Muutokset piirilevylle

1 Muutokset piirilevylle 1 Muutokset piirilevylle Seuraavat muutokset täytyvät olla piirilevylle tehtynä, jotta tätä käyttöohjetta voidaan käyttää. Jumppereiden JP5, JP6, JP7, sekä JP8 ja C201 väliltä puuttuvat signaalivedot on

Lisätiedot

Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 IT Juha Nalli 22.12.2015

Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 IT Juha Nalli 22.12.2015 Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 Oppilaat saavat vuoden 2016 alusta käyttöönsä oppilaitoksen sähköpostin ja muita palveluita Microsoftin Office365:sta. Oppilaiden sähköposti on muotoa

Lisätiedot

KÄYTTÖÖN. Koulukirjat tietokoneelle PIKAOHJEET PAPERPORT -OHJELMAN. Sisällysluettelo

KÄYTTÖÖN. Koulukirjat tietokoneelle PIKAOHJEET PAPERPORT -OHJELMAN. Sisällysluettelo Koulukirjat tietokoneelle PIKAOHJEET PAPERPORT -OHJELMAN KÄYTTÖÖN Sisällysluettelo ImageViewer ja PDF Viewer Plus -ohjelmien esittelyt 1 Käytön aloittaminen 1 Työpöydän hyödylliset työkalut 2 Image View

Lisätiedot

Suomenkielinen versio. Johdanto. Laitteiston asennus. PU011 Sweex 1-portin rinnakkainen PCI Express -kortti

Suomenkielinen versio. Johdanto. Laitteiston asennus. PU011 Sweex 1-portin rinnakkainen PCI Express -kortti PU011 Sweex 1-portin rinnakkainen PCI Express -kortti Johdanto Älä altista PU011-korttia äärilämpötiloille. Älä aseta laitetta suoraan auringonvaloon tai sulje lämmityselementtejä. Älä käytä PU011-korttia

Lisätiedot

zotero www.zotero.org

zotero www.zotero.org zotero www.zotero.org Viitteidenhallintajärjestelmä Zotero toimii Firefox-selaimessa. Muita ilmaisia viitteidenhallintajärjestelmiä ovat esimerkiksi EndNote ja Mendeley. Näissä ohjeissa on kuvataan Zoteron

Lisätiedot

1. HARJOITUS harjoitus3_korjaus.doc

1. HARJOITUS harjoitus3_korjaus.doc Word - harjoitus 1 1. HARJOITUS harjoitus3_korjaus.doc Kopioi itsellesi harjoitus3_korjaus.doc niminen tiedosto Avaa näyttöön kopioimasi harjoitus. Harjoitus on kirjoitettu WordPerfet 5.1 (DOS) versiolla

Lisätiedot

Midland BTNext -päivityssovellus

Midland BTNext -päivityssovellus Midland BTNext -päivityssovellus Kun olet ladannut BTNext-päivityssovelluksen web-sivultamme, kaksoisnapsauta sitä ja seuraa asennusmenettelyä. BTNext-päivityssovellus asentuu automaattisesti "Program"-valikkoon,

Lisätiedot

Kaakkois-Suomen Ammattikorkeakoulu Oy Mikkelin Ammattikorkeakoulu Oy Kymenlaakson Ammattikorkeakoulu Oy

Kaakkois-Suomen Ammattikorkeakoulu Oy Mikkelin Ammattikorkeakoulu Oy Kymenlaakson Ammattikorkeakoulu Oy Opiskelijoiden OneDriveohje Kaakkois-Suomen Ammattikorkeakoulu Oy Mikkelin Ammattikorkeakoulu Oy Kymenlaakson Ammattikorkeakoulu Oy Ohjeen nimi Opiskelijoiden OneDrive-ohje Vastuuhenkilö Mari Jokiniemi

Lisätiedot

Uutiskirjesovelluksen käyttöohje

Uutiskirjesovelluksen käyttöohje Uutiskirjesovelluksen käyttöohje Käyttäjätuki: Suomen Golfpiste Oy Esterinportti 1 00240 HELSINKI Puhelin: (09) 1566 8800 Fax: (09) 1566 8801 E-mail: gp@golfpiste.com 2 Sisällys Johdanto... 1 Päänavigointi...

Lisätiedot

Kyläsivujen InfoWeb-ohje

Kyläsivujen InfoWeb-ohje Kyläsivujen InfoWeb-ohje Kirjoita internet-selaimesi osoitekenttään kyläsivujen hallintaosoite; www.yla -savo.fi/admin Saavut seuraavalle sivulle, johon kirjoitat käyttäjätunnuksesi ja salasanasi: Paina

Lisätiedot

6.1 Tekstialueiden valinta eli maalaaminen (tulee tehdä ennen jokaista muokkausta ym.)

6.1 Tekstialueiden valinta eli maalaaminen (tulee tehdä ennen jokaista muokkausta ym.) 6. Tekstin muokkaaminen 6.1 Tekstialueiden valinta eli maalaaminen (tulee tehdä ennen jokaista muokkausta ym.) Tekstin maalaaminen onnistuu vetämällä hiirellä haluamansa tekstialueen yli (eli osoita hiiren

Lisätiedot

Kansion asetusten muuttaminen Windows 2000 käyttöjärjestelmässä Resurssienhallinnan kautta

Kansion asetusten muuttaminen Windows 2000 käyttöjärjestelmässä Resurssienhallinnan kautta Kansion asetusten muuttaminen Windows 2000 käyttöjärjestelmässä Resurssienhallinnan kautta..1 Tiedoston kytkeminen haluttuun ohjelmaan...2 Pikakuvakkeen luominen...3 Resurssien jakaminen verkossa olevien

Lisätiedot

Ajokorttimoduuli Moduuli 2. - Laitteenkäyttö ja tiedonhallinta. Harjoitus 1

Ajokorttimoduuli Moduuli 2. - Laitteenkäyttö ja tiedonhallinta. Harjoitus 1 Ajokorttimoduuli Moduuli 2 - Laitteenkäyttö ja tiedonhallinta Harjoitus 1 Tämän harjoituksen avulla opit alustamaan levykkeesi (voit käyttää levykkeen sijasta myös USBmuistitikkua). Harjoitus tehdään Resurssienhallinnassa.

Lisätiedot

Avaa ohjelma ja tarvittaessa Tiedosto -> Uusi kilpailutiedosto

Avaa ohjelma ja tarvittaessa Tiedosto -> Uusi kilpailutiedosto Condess ratamestariohjelman käyttö Aloitus ja alkumäärittelyt Avaa ohjelma ja tarvittaessa Tiedosto -> Uusi kilpailutiedosto Kun kysytään kilpailun nimeä, syötä kuvaava nimi. Samaa nimeä käytetään oletuksena

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

TW-LTE 4G/3G. USB-modeemi (USB 2.0)

TW-LTE 4G/3G. USB-modeemi (USB 2.0) TW-LTE 4G/3G USB-modeemi (USB 2.0) Tiedonsiirtonopeus: 100 Mbps/50 Mbps LTE: 1800/2100/2600 MHz GSM/GPRS/EDGE: 850/900/1800/1900 MHz UMTS: 900/2100 MHz Pikaohje (Finnish) CE Käyttöönotto- ohje SIM- kortin

Lisätiedot

TTY TKT-1110 Mikroprosessorit TKT. HEW-ohjeet ver 1.0

TTY TKT-1110 Mikroprosessorit TKT. HEW-ohjeet ver 1.0 Johdanto Nämä ohjeet opastavat sinut tekemään kurssiin TKT-1110 Mikroprosessorit liittyvät harjoitustyöt. Ohjeet sisältävät kolme osiota. Ensimmäisenä esitellään projektin luonti, mikä tehdään ainoastaan

Lisätiedot

PDF-tiedostojen teon pikaohje

PDF-tiedostojen teon pikaohje 1 PDF-tiedostojen teon pikaohje 1. Aluksi Tässä pikaohjeessa käydään lyhyesti läpi PDF-muotoisten tiedostojen teko Adobe Acrobat 5.0 ohjelmalla. Ohje opastaa painoon optimoidun PDF-tallenteen teon. Pikaohje

Lisätiedot

Suomenkielinen versio. Johdanto. Laitteiston asennus. PU013 Sweex 1-portin rinnakkainen & 2 -portin sarja PCI-kortti

Suomenkielinen versio. Johdanto. Laitteiston asennus. PU013 Sweex 1-portin rinnakkainen & 2 -portin sarja PCI-kortti PU013 Sweex 1-portin rinnakkainen & 2 -portin sarja PCI-kortti Johdanto Älä altista PU013-korttia äärilämpötiloille. Älä aseta laitetta suoraan auringonvaloon tai sulje lämmityselementtejä. Älä käytä PU013-korttia

Lisätiedot

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1 1 Asentaminen...2 2 Yleistä ja simuloinnin aloitus...2 2.1 PI-säätimet...3 2.2 Trendit...4 3 Lämpölaitoksen ohjaus...5 4 Voimalan alkuarvojen muuttaminen...6 5 Tulostus...8 6 Mahdollisia ongelmia...8 6.1

Lisätiedot

Fiscal INFO TV -ohjelmisto koostuu kolmesta yksittäisestä ohjelmasta, Fiscal Media Player, Fiscal Media Manager ja Fiscal Media Server.

Fiscal INFO TV -ohjelmisto koostuu kolmesta yksittäisestä ohjelmasta, Fiscal Media Player, Fiscal Media Manager ja Fiscal Media Server. PIKA-ALOITUSOPAS Fiscal INFO TV -ohjelmisto koostuu kolmesta yksittäisestä ohjelmasta, Fiscal Media Player, Fiscal Media Manager ja Fiscal Media Server. Fiscal Media Manager -ohjelmalla tehdään kalenteri,

Lisätiedot

Tärkeimmät toiminnot. Kertausta ja uusia toimintoja Wordistä sekä tiedostonhallinnasta. Tärkeimmät toiminnot jatkuu...

Tärkeimmät toiminnot. Kertausta ja uusia toimintoja Wordistä sekä tiedostonhallinnasta. Tärkeimmät toiminnot jatkuu... Tärkeimmät toiminnot Kertausta ja uusia toimintoja Wordistä sekä tiedostonhallinnasta Kun hiiren jättää kuvakkeen päälle vähäksi ajaksi Word selittää toiminnon Avaa tiedosto Tallenna Kumoa, nuolesta aiemmat

Lisätiedot

Site Data Manager Käyttöohje

Site Data Manager Käyttöohje Site Data Manager Käyttöohje Sisällysluettelo Sivu Mikä on SDM 2 SDM asennus 2 Ohjelman käyttö 3 Päävalikko 4 Varmuuskopion tekeminen 5 Täydellisen palautuksen tekeminen 6 Osittaisen palautuksen tekeminen

Lisätiedot

Kopiodaksesi, leikataksesi ja liittääksesi helpointa on käyttää näppäimistön pikavalintoja:

Kopiodaksesi, leikataksesi ja liittääksesi helpointa on käyttää näppäimistön pikavalintoja: Ohjeita järjestöpankin käytön tueksi Huomioitavaa:... 1 1 Sisäänkirjautuminen... 2 2 Sivun/henkilökortin muokkaaminen... 2 3 Uutisen/sivun/tapahtuman, tms. lisääminen... 3 4 Uutisen/tapahtuman saaminen

Lisätiedot

3. Laajakaistaliittymän asetukset / Windows XP

3. Laajakaistaliittymän asetukset / Windows XP 3. Laajakaistaliittymän asetukset / Windows XP 3.1 Laajakaistaliittymän asetusten tarkistus / Windows XP Seuraavien ohjeiden avulla tarkistat Windows XP -käyttöjärjestelmien asetukset ja luot Internet-yhteyden.

Lisätiedot

Muita kuvankäsittelyohjelmia on mm. Paint Shop Pro, Photoshop Elements, Microsoft Office Picture Manager

Muita kuvankäsittelyohjelmia on mm. Paint Shop Pro, Photoshop Elements, Microsoft Office Picture Manager Missio: 1. Asentaminen 2. Valokuvien tarkastelu, tallennus/formaatit, koko, tarkkuus, korjaukset/suotimet, rajaus 3. Kuvan luonti/työkalut (grafiikka kuvat) 4. Tekstin/grafiikan lisääminen kuviin, kuvien/grafiikan

Lisätiedot

1 YLEISTÄ. Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1.1 PROJEKTIN TARKOITUS

1 YLEISTÄ. Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1.1 PROJEKTIN TARKOITUS Taitaja2002, Imatra Teollisuuselektroniikkatyö Protorakentelu 1 YLEISTÄ 1.1 PROJEKTIN TARKOITUS Tämä projekti on mikrokontrollerilla toteutettu lämpötilan seuranta kortti. Kortti kerää lämpöantureilta

Lisätiedot

ASENNUS- JA KÄYTTÖOHJE

ASENNUS- JA KÄYTTÖOHJE ASENNUS- JA KÄYTTÖOHJE YKSIKKÖHINTA SOPIMUKSEN TOTEUTUNEET MÄÄRÄT-SOVELLUS CMPRO5 VERSIO 2.8 PÄIVITETTY HEINÄKUU 2010 COPYRIGHT 2010 ARTEMIS FINLAND OY. ALL RIGHTS RESERVED. KÄYTTÖOHJE SIVU 2 (12) SISÄLLYSLUETTELO

Lisätiedot

elearning Salpaus http://elsa.salpaus.fi Elsa-tutuksi

elearning Salpaus http://elsa.salpaus.fi Elsa-tutuksi elearning Salpaus http://elsa.salpaus.fi Elsa-tutuksi SISÄLLYSLUETTELO 1 MIKÄ ON ELSA, ENTÄ MOODLE?... 3 1.1 MITÄ KURSSILLA VOIDAAN TEHDÄ?... 3 2 KURSSILLE KIRJAUTUMINEN... 3 3 KURSSILLE LIITTYMINEN...

Lisätiedot

Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle.

Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle. TEE KONEESTA CD-VARASTO: Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle. Siirrä cd-levysi TIETOJA Kopioi cd-levyt kiintolevylle levykuviksi, niin pääset sisältöön nopeasti käsiksi

Lisätiedot

Ksenos Prime Käyttäjän opas

Ksenos Prime Käyttäjän opas Ksenos Prime Käyttäjän opas Versio 11.301 Turun Turvatekniikka Oy 2011 Selaa tallenteita. Tallenteiden selaaminen tapahtuu samassa tilassa kuin livekuvan katselu. Voit raahata hiirellä aikajanaa tai käyttää

Lisätiedot

Ohjeet asiakirjan lisäämiseen arkistoon

Ohjeet asiakirjan lisäämiseen arkistoon Ohjeet asiakirjan lisäämiseen arkistoon 1. Jos koneellesi ei vielä ole asennettu Open Office ohjelmaa, voit ladata sen linkistä joka löytyy Arkisto => Asiakirjapohjat sivulta seuran kotisivuilta. Jos ohjelma

Lisätiedot

Asennus Windows 2000 ja XP -käyttöjärjestelmiin

Asennus Windows 2000 ja XP -käyttöjärjestelmiin PU007V2 Sweex 1 portin rinnakkainen & 2 portin sarja PCI-kortti Johdanto Älä altista PU007V2-korttia äärilämpötiloille. Älä aseta laitetta suoraan auringonvaloon tai sulje lämmityselementtejä. Älä käytä

Lisätiedot

Skype for Business pikaohje

Skype for Business pikaohje Skype for Business pikaohje Sisällys KOKOUSKUTSU... 2 ENNEN ENSIMMÄISEN KOKOUKSEN ALKUA... 4 LIITTYMINEN KOKOUKSEEN SKYPE FOR BUSINEKSELLA... 5 LIITTYMINEN KOKOUKSEEN SELAIMEN KAUTTA... 6 LIITTYMINEN KOKOUKSEEN

Lisätiedot

3.3 Kurssin palauttaminen

3.3 Kurssin palauttaminen 3.3 Kurssin palauttaminen Yleistä kurssin palauttamisesta Kurssipohjan tulee olla luotuna Moodleen ennen kuin sen päälle voi palauttaa varmuuskopion. Yleensä palauttaminen kannattaa tehdä siten, että entisen

Lisätiedot

Adobe Premiere Elements ohjeet

Adobe Premiere Elements ohjeet Adobe Premiere Elements ohjeet 1. Käynnistä ohjelma kaksoisnapsauttamalla työpöydän kuvaketta. 2. Avautuvasta Elements-ikkunasa valitse a. New Project jos aloitat uuden videoeditoinnin. b. Open Project

Lisätiedot

Asiakirjojen ja valokuvien skannaaminen Canon Canoscan -skannerilla

Asiakirjojen ja valokuvien skannaaminen Canon Canoscan -skannerilla Asiakirjojen ja valokuvien skannaaminen Canon Canoscan -skannerilla 1. Kytke skanneriin virta painamalla skannerin oikealla puolella olevaa virtakytkintä. 2. Avaa skannerin kansi. 3. Aseta valokuva/asiakirja

Lisätiedot

Autentikoivan lähtevän postin palvelimen asetukset

Autentikoivan lähtevän postin palvelimen asetukset Autentikoivan lähtevän postin palvelimen asetukset - Avaa Työkalut valikko ja valitse Tilien asetukset - Valitse vasemman reunan lokerosta Lähtevän postin palvelin (SM - Valitse listasta palvelin, jonka

Lisätiedot

RACE-KEEPER COMPARO PC-OHJELMAN PIKAOHJE

RACE-KEEPER COMPARO PC-OHJELMAN PIKAOHJE RACE-KEEPER COMPARO PC-OHJELMAN PIKAOHJE SISÄLLYS 1 Mittausten (outing) avaus ja analysointi 2 HD videoiden teko 1 MITTAUSTEN AVAUS JA ANALYSOINTI Asenna Comparo PC-ohjelma ja käynnistä ohjelma pikakuvakkeesta.

Lisätiedot

erasmartcardkortinlukijaohjelmiston

erasmartcardkortinlukijaohjelmiston erasmartcardkortinlukijaohjelmiston asennusohje Sisällysluettelo 1. erasmartcard... 2 2. erasmartcard-ohjelmiston normaali asennus... 3 2.1. Ennen asennusta... 3 2.2. Asennus... 3 3. Muut asennustavat...

Lisätiedot

Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä

Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä Tiedonsiirto VMS-, Alpro- tai DelProtuotannonohjausjärjestelmästä Ammuohjelmistoon 5/2014 Asennettavat ohjelmat ja versiot VMS-Management

Lisätiedot

Videoeditointi: Adobe Premiere Pro CS4

Videoeditointi: Adobe Premiere Pro CS4 Videoeditointi: Adobe Premiere Pro CS4 Sisältö Yleistä... 1 Ohjelman käynnistäminen... 2 Videon käyttöönotto... 4 Videon editoiminen... 6 Efektien lisääminen ja hienosäätö... 8 Tekstien lisääminen... 9

Lisätiedot

KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ

KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ https://community.plm.automation.siemens.com/t5/tech-tips- Knowledge-Base-NX/How-to-simulate-any-G-code-file-in-NX- CAM/ta-p/3340 Koneistusympäristön määrittely

Lisätiedot

MOODLE-KURSSIN LAATIMINEN /OPETTAJAN OHJEET

MOODLE-KURSSIN LAATIMINEN /OPETTAJAN OHJEET MOODLE-KURSSIN LAATIMINEN /OPETTAJAN OHJEET Jos haluat itsellesi tai jollekin ryhmälle uuden kurssipohjan, ota yhteyttä Virpi Järvenreunaan, Leena Kankaanpäähän, Mervi Lehtoseen, Konsta Ojaseen, Jarno

Lisätiedot

Site Data Manager Käyttöohje

Site Data Manager Käyttöohje Site Data Manager Käyttöohje Sisällysluettelo Sivu Mikä on SDM 2 SDM asennus 2 Ohjelman käyttö 3 Päävalikko 4 Varmuuskopion tekeminen 5 Täydellisen palautuksen tekeminen 6 Osittaisen palautuksen tekeminen

Lisätiedot

A-Tiilikate objektikirjasto

A-Tiilikate objektikirjasto A-Tiilikate objektikirjasto 15.1.2014 A-Tiilikate-objektikirjasto toimii ArchiCAD 14, 15, 16 ja 17 -versioissa. Kirjaston käyttöön tarvitaan Graphisoftin Tarvikkeet-laajennus. Tarvikkeet-laajennuksen käyttöönotto

Lisätiedot

Turnitin-ohjelma käyttö opettajana Turnitin.comissa

Turnitin-ohjelma käyttö opettajana Turnitin.comissa 1 Turnitin-ohjelma käyttö opettajana Turnitin.comissa Sisällys 1 Turnitin -ohjelman käyttö Turnitin.comissa 2 1 Turnitin käyttötilin luominen 2 2 Kielen vaihtaminen 4 3 Kurssityötilan luominen 4 4 Luokan

Lisätiedot

Windows Vistan varmuuskopiointi

Windows Vistan varmuuskopiointi Vaihtoehtoja varmuuskopiointiin 1 Windows Vistan varmuuskopiointi Sisällysluettelo mikko.kaariainen@opisto.hel.fi Windows Vistan varmuuskopiointi... 2 Ensimmäinen varmuuskopiointi ja asetukset... 2 Seuraava

Lisätiedot

Pikaopas. WiFi-kantaman laajennin N300 Malli EX2700

Pikaopas. WiFi-kantaman laajennin N300 Malli EX2700 Pikaopas WiFi-kantaman laajennin N300 Malli EX2700 Aloittaminen NETGEAR-WiFi-kantamanlaajennin laajentaa WiFi-verkkoa vahvistamalla nykyistä WiFi-signaalia ja parantamalla signaalin laatua pitkillä etäisyyksillä.

Lisätiedot

Kansionäkymä listasta suuriin kuvakkeisiin

Kansionäkymä listasta suuriin kuvakkeisiin Sirpa Leinonen Kansionäkymä listasta suuriin kuvakkeisiin Riippuen koneen Windows versiosta hieman eroja miten näkymä valitaan 1. Tiedosto 2. Näytä välilehdellä valinta kansio näkymlle Suurimmat tai suuret

Lisätiedot

RATKAISUT SIVU 1 / 15. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa.

RATKAISUT SIVU 1 / 15. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa. RATKAISUT SIVU 1 / 15 PowerPoint jatko Harjoitus 3.1-3.7: Harjoitus 3.1: Avaa ensin Harjoitustiedosto.pptx. Väriteemaan pääset käsiksi hieman eri tavoilla PowerPointin eri versioissa. Valitse joka tapauksessa

Lisätiedot

http://www.microsoft.com/expression/

http://www.microsoft.com/expression/ Verkkojulkaisuharjoitus1 TAVOITE Harjoituksen tarkoituksena on opiskella käyttämään verkkojulkaisueditoria (Microsoft Expression Web) ja käynnistämään verkkosivu internetissä. VERKKOSIVUEDITORIN KÄYTTÖOHJEITA

Lisätiedot

Wordfast Classic 5.5 Asentaminen 1 (10)

Wordfast Classic 5.5 Asentaminen 1 (10) Wordfast Classic 5.5 Asentaminen 1 (10) 1) Wordfast-ohjelman lataaminen Mene osoitteeseen www.wordfast.net, napsauta kohtia products ja download: Huom! Samasta paikasta saat myös mm. käsikirjan (reference

Lisätiedot

Microsoft Projectin mukauttaminen

Microsoft Projectin mukauttaminen LIITE 385 C Microsoft Projectin mukauttaminen Tässä liitteessä kuvataan yleisimpiä tapoja mukauttaa Microsoft Projectia käyttäjän tarpeiden mukaan. Jotkin Microsoft Projectin mukauttamisasetukset ovat

Lisätiedot

Sivu 1 / 11 08.01.2013 Viikin kirjasto / Roni Rauramo

Sivu 1 / 11 08.01.2013 Viikin kirjasto / Roni Rauramo Sivu 1 / 11 Kuvien siirto kamerasta Lyhyesti Tämän oppaan avulla voit: - käyttää tietokoneen omaa automaattista kopiointiin tai siirtoon tarkoitettua toimintaa kuvien siirtoon kamerasta tai muistikortista

Lisätiedot

Asennus Windows XP ja Vista -käyttöjärjestelmiin

Asennus Windows XP ja Vista -käyttöjärjestelmiin LW056V2 Langaton Sweex LAN-korttiväyläsovitin 54 Mbps Johdanto Älä altista langatonta Sweex LAN-korttiväylän sovitinta 54 Mbps äärilämpötiloille. Älä aseta laitetta suoraan auringonvaloon tai sulje lämmityselementtejä.

Lisätiedot

MITEN KIRJAUDUN ADOBE CONNECTIIN?

MITEN KIRJAUDUN ADOBE CONNECTIIN? Connect-ohje oppilaalle MITEN KIRJAUDUN ADOBE CONNECTIIN? 1. Avaa internet-selain ja kirjoita osoiteriville virtuaaliluokkasi osoite, esim. http://tampere.adobeconnect.com/virta ja paina Enter: Luokkien

Lisätiedot

TYYLIT. Word Tyylit

TYYLIT. Word Tyylit Word 2013 Tyylit TYYLIT TYYLIT... 1 Pikatyylien käyttäminen... 1 Tyylimuotoilun poistaminen... 2 Tyylin muokkaaminen... 2 Uuden tyylin luominen muotoillusta tekstistä... 2 Uuden tyylin luominen valintataulussa...

Lisätiedot

Kipan AC huone on pääsääntöisesti auki ja opiskelijoiden vapaasti käytettävissä.

Kipan AC huone on pääsääntöisesti auki ja opiskelijoiden vapaasti käytettävissä. AC-YHTEYDEN KÄYTTÖ AC on internet-selaimella käytettävä verkkokokousympäristö, jossa voidaan näyttää esityksiä, pitää luentoja, esittää videotallenteita ja kirjoittaa reaaliaikaisesti. AC:n käyttö ei vaadi

Lisätiedot

INTERBASE 5.0 PÄIVITYS VERSIOON 5.6

INTERBASE 5.0 PÄIVITYS VERSIOON 5.6 1 INTERBASE 5.0 PÄIVITYS VERSIOON 5.6 HUOM: Tämä ohje on tarkoitettu yksittäisen koneen päivittämiseen, mikäli InterBase on asennettu serverille ota yhteys DL Software Tukeen. HUOM: Mikäli koneessasi on

Lisätiedot

Pika-aloitusopas. Langaton IP-kamera. Tekninen tuki QG4_B

Pika-aloitusopas. Langaton IP-kamera. Tekninen tuki QG4_B FI Tekninen tuki Langaton IP-kamera Pika-aloitusopas Lataa Windows- tai Mac-asiakasohjelmisto seuraavasta osoitteesta: https://reolink.com/software-and-manual/ Tarkemmat asennusohjeet selostetaan käyttöoppaassa:

Lisätiedot

Käyttöohje. Energent MagiCAD plugin

Käyttöohje. Energent MagiCAD plugin Käyttöohje Energent MagiCAD plugin Sisältö 1. Yleistä 1 Dokumentin sisältö... 1 Ohjelman asennus... 1 Vaadittavat ohjelmistot... 1 Asennus... 1 Ohjelman käynnistys... 2 2. Toiminnallisuudet 3 Insert Energent

Lisätiedot

Transkribuksen pikaopas

Transkribuksen pikaopas Transkribuksen pikaopas Transkribus on alusta, jolla voi puhtaaksikirjoittaa haluamaansa aineistoa ja automaattisesti tunnistaa käsinkirjoitettua tekstiä. Sitä käyttääkseen täytyy rekisteröityä. Tässä

Lisätiedot

TRUST SIGHT FIGHTER VIBRATION FEEDBACK & PREDATOR QZ 500

TRUST SIGHT FIGHTER VIBRATION FEEDBACK & PREDATOR QZ 500 TRUST SIGHT FIGHTER VIBRATION FEEDBACK & PREDATOR QZ 500 Pika-asennusohje Versio 1.0 1 1. Johdanto Tämä käyttöohje on tarkoitettu Trust Sight Fighter Vibration Feedback - ja Predator QZ 500 - tuotteiden

Lisätiedot

SQL Server 2008 asennus

SQL Server 2008 asennus SQL Server 2008 asennus 1. Yleistä... 3 2. Edellytykset... 3 3. SQL Server 2008 Express asennus... 4 4. Yhteystiedot... 6 2/6 1. YLEISTÄ Tässä ohjeessa käydään vaiheittain Microsoft SQL Server 2008 tietokantaohjelmiston

Lisätiedot

Uuden työtilan luonti

Uuden työtilan luonti Uuden työtilan luonti 1. Valitaan Uusi työtila vasemmanpuoleisesta valikosta 2. Valitaan Tyhjä työtila aukeavasta valikosta. Tämä toiminto luo uuden tyhjän työtilan. 3. Kun uusi työtila on luotu, aukeaa

Lisätiedot

CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa

CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa CipherLab CPT-8001L -tiedonkeruupäätteen käyttö Ecomin kanssa Sisällys Laitteen käyttöönotto...3 Latauskehdon asennus...3 Tiedonsiirto-ohjelman asennus...4 Keräilylaitteen käyttö...5 Viivakoodien luku...5

Lisätiedot

CEM DT-3353 Pihtimittari

CEM DT-3353 Pihtimittari CEM DT-3353 Pihtimittari Sivu 1/5 CEM DT-3353 Pihtimittari Ongelma Mittarin ohjelmisto ilmoittaa NO DATA vaikka tiedonsiirtokaapeli on kytketty tietokoneen ja mittarin välille, mittarissa on virta päällä

Lisätiedot

Web Services -toiminnon käyttö skannaukseen verkossa (Windows Vista SP2 tai uudempi, Windows 7 ja Windows 8)

Web Services -toiminnon käyttö skannaukseen verkossa (Windows Vista SP2 tai uudempi, Windows 7 ja Windows 8) Web Services -toiminnon käyttö skannaukseen verkossa (Windows Vista SP2 tai uudempi, Windows 7 ja Windows 8) Web Services -protokollan avulla Windows Vista (SP2 tai uudempi)-, Windows 7- ja Windows 8 -

Lisätiedot

Visma Econet -ohjelmat ActiveX on epävakaa -virheilmoituksen korjausohjeet

Visma Econet -ohjelmat ActiveX on epävakaa -virheilmoituksen korjausohjeet 1 Visma Econet -ohjelmat ActiveX on epävakaa -virheilmoituksen korjausohjeet Microsoft on julkaissut Office-paketteihin tietoturvapäivitykset. Seuraavat päivitykset aiheuttavat Visma Econet -ohjelmissa

Lisätiedot

Ohjeita kirjan tekemiseen

Ohjeita kirjan tekemiseen Suomen Sukututkimustoimisto on yhdessä Omakirjan kanssa tehnyt internetiin uuden Perhekirja-sivuston. Se löytyy osoitteesta: www.omakirja.fi -> Kirjat -> Perhekirja tai http://www.omakirja.fi/perhekirja?product=6

Lisätiedot

RATKI 1.0 Käyttäjän ohje

RATKI 1.0 Käyttäjän ohje RATKI RATKI 1.0 Käyttäjän ohje Ohje 0.5 Luottamuksellinen Vastuuhenkilö Petri Ahola Sisällysluettelo 1. Yleistä... 3 1.1. Kuvaus... 3 1.2. Esitiedot... 3 1.3. RATKIn käyttöoikeuksien hankinta... 3 1.4.

Lisätiedot

KÄYTTÖOHJE DENVER MPG-4054 NR Mediasoitin

KÄYTTÖOHJE DENVER MPG-4054 NR Mediasoitin KÄYTTÖOHJE DENVER MPG-4054 NR Mediasoitin Tämä MP4-videosoitin on varustettu täysillä multimediaominaisuuksilla. Laite sopii valokuvien katseluun, e-kirjojen lukemiseen, äänen tallentamiseen ja toistamiseen

Lisätiedot

LP-levyn digitointi Audacity-ohjelmalla

LP-levyn digitointi Audacity-ohjelmalla Digitointiohjeita_LP 10.7.2014 1 LP-levyn digitointi Audacity-ohjelmalla I Levyn tallennus tietokoneelle Kytke virta tietokoneeseen ja näyttöön. Levysoitin saa virtansa tietokoneesta. Käynnistä kopiointiohjelma

Lisätiedot

HAMINETTI WLAN LIITTYMÄN KÄYTTÖÖNOTTO-OHJE

HAMINETTI WLAN LIITTYMÄN KÄYTTÖÖNOTTO-OHJE HAMINETTI WLAN LIITTYMÄN KÄYTTÖÖNOTTO-OHJE Vaihe 1, Client manager ohjelmiston ja sovittimen ajureiden asennus Asennuksen vaiheissa saattaa olla sovitin ja käyttöjärjestelmä kohtaisia eroja. Alla olevassa

Lisätiedot

McAfee VirusScan Enterprice 7.0.0 asennus

McAfee VirusScan Enterprice 7.0.0 asennus 1 McAfee VirusScan Enterprice 7.0.0 asennus Asennuksen vaiheet: 1. McAfee VirusScan Enteprice 7.0.0 asennus 2. McAfee VirusScan Enteprice 7.0.0 On-Access Scan:n konfigurointi 3. Automaattisten päivitysten

Lisätiedot

ohjeita kirjautumiseen ja käyttöön

ohjeita kirjautumiseen ja käyttöön ohjeita kirjautumiseen ja käyttöön Kirjautumisesta Opiskelijat: kirjaudu aina tietokoneelle wilmatunnuksella etunimi.sukunimi@edu.ekami.fi + wilman salasana Opettajat: kirjaudu luokan opekoneelle @edu.ekami.fi

Lisätiedot

UpdateIT 2010: Editorin käyttöohje

UpdateIT 2010: Editorin käyttöohje UpdateIT 2010: Editorin käyttöohje Käyttäjätuki: Suomen Golfpiste Oy Esterinportti 1 00240 HELSINKI Puhelin: (09) 1566 8800 Fax: (09) 1566 8801 E-mail: gp@golfpiste.com Sisällys Editorin käyttöohje...

Lisätiedot

UpdateIT 2010: Uutisten päivitys

UpdateIT 2010: Uutisten päivitys UpdateIT 2010: Uutisten päivitys Käyttäjätuki: Suomen Golfpiste Oy Esterinportti 1 00240 HELSINKI Puhelin: (09) 1566 8800 Fax: (09) 1566 8801 E-mail: gp@golfpiste.com 2 Sisällys Uutisen lisääminen... 1

Lisätiedot

HP ProBook 430 G5 kannettavien käyttöönotto

HP ProBook 430 G5 kannettavien käyttöönotto HP ProBook 430 G5 kannettavien käyttöönotto Windows 10:n asennus, päivitysten tarkistus ja Abitti-asetukset Kytke tietokone verkkovirtaan ennen koneen käynnistämistä! Windows 10 Home käyttöjärjestelmän

Lisätiedot

Avid Pro Tools Äänityksen perusteet. Petri Myllys 2013 / Taideyliopisto, Sibelius-Akatemia tp48 Äänitekniikan perusteet

Avid Pro Tools Äänityksen perusteet. Petri Myllys 2013 / Taideyliopisto, Sibelius-Akatemia tp48 Äänitekniikan perusteet Avid Pro Tools Äänityksen perusteet Petri Myllys 20 / Taideyliopisto, Sibelius-Akatemia tp48 Äänitekniikan perusteet Äänitys Pro Toolsissa Luo ensin uusi raita (ks. edellinen ohje). Jos äänität yhdellä

Lisätiedot

iloq P10S.10/20 -ohjelmointilaite Käyttöohje

iloq P10S.10/20 -ohjelmointilaite Käyttöohje iloq P10S.10/20 -ohjelmointilaite Käyttöohje SISÄLTÖ YLEISTÄ... 3 OHJELMOINTILAITTEEN KÄYTTÖ... 5 Virran kytkeminen... 5 Lukon ohjelmointi... 5 Avaimen ohjelmointi... 5 Lukon lokin lukeminen... 6 Paristojen

Lisätiedot

DNA Prepaid WLAN Mokkula

DNA Prepaid WLAN Mokkula DNA Prepaid WLAN Mokkula Mokkula käyttää normaalikokoista / suurempaa SIM-korttia. Irrota SIM-kortti kokonaisena ja laita se Mokkulaan alla olevan kuvan mukaisesti. Jos irrotat vahingossa pienemmän SIM-kortin,

Lisätiedot

ZyXEL VMG1312 ja 3G/4G-mokkulat

ZyXEL VMG1312 ja 3G/4G-mokkulat ZyXEL VMG1312 ja 3G/4G-mokkulat VMG1312-modeemi voi käyttää USB-porttiin liitettyjä 3G/4G-tikkuja nettiyhteyden muodostamiseen ja jakaa tämän yhteyden kaikille kotiverkon käyttäjille. Tämän toiminnan käyttöön

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot