KANDIDAATINTYÖ. Tuukka Junnikkala SÄHKÖTEKNIIKAN KOULUTUSOHJELMA



Samankaltaiset tiedostot
20 Kollektorivirta kun V 1 = 15V Transistorin virtavahvistus Transistorin ominaiskayrasto Toimintasuora ja -piste 10

Elektroniikka, kierros 3

FYSP105 / K3 RC-SUODATTIMET

LOPPURAPORTTI Lämpötilahälytin Hans Baumgartner xxxxxxx nimi nimi

ELEC-C6001 Sähköenergiatekniikka, laskuharjoitukset oppikirjan lukuun 10 liittyen.

Analogiapiirit III. Tentti

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta.

TASA- JA VAIHTOVIRTAPIIRIEN LABORAATIOTYÖ 5 SUODATINPIIRIT

CC-ASTE. Kuva 1. Yksinkertainen CC-vahvistin, jossa virtavahvistus B + 1. Kuva 2. Yksinkertaisen CC-vahvistimen simulaatio

Analogiapiirit III. Keskiviikko , klo , TS128. Operaatiovahvistinrakenteet

Mittalaitetekniikka. NYMTES13 Vaihtosähköpiirit Jussi Hurri syksy 2014

Kaikki kytkennät tehdään kytkentäalustalle (bimboard) ellei muuta mainita.

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto. 2 Teoreettista taustaa

DIODIN OMINAISKÄYRÄ TRANSISTORIN OMINAISKÄYRÄSTÖ

1 PID-taajuusvastesuunnittelun esimerkki

Analogiapiirit III. Keskiviikko , klo , TS127. Jatkuva-aikaiset IC-suodattimet ja PLL-rakenteet

Elektroniikan perusteet, Radioamatööritutkintokoulutus

OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia

KOHINA LÄMPÖKOHINA VIRTAKOHINA. N = Noise ( Kohina )

C 2. + U in C 1. (3 pistettä) ja jännite U C (t), kun kytkin suljetaan ajanhetkellä t = 0 (4 pistettä). Komponenttiarvot ovat

ELEKTRONIIKAN PERUSTEET T700504

Kondensaattorin läpi kulkeva virta saadaan derivoimalla yhtälöä (2), jolloin saadaan

VAASAN YLIOPISTO TEKNILLINEN TIEDEKUNTA SÄHKÖTEKNIIKKA. Lauri Karppi j SATE.2010 Dynaaminen kenttäteoria DIPOLIRYHMÄANTENNI.

S Elektroniset mittaukset ja elektroniikan häiriökysymykset. Vanhoja tenttitehtäviä

l s, c p T = l v = l l s c p. Z L + Z 0

SÄHKÖSTATIIKKA JA MAGNETISMI. NTIETS12 Tasasähköpiirit Jussi Hurri syksy 2013

Analogiapiirit III. Keskiviikko , klo , TS128. Operaatiovahvistinrakenteet

Vcc. Vee. Von. Vip. Vop. Vin

SÄHKÖENERGIATEKNIIIKKA. Harjoitus - luento 7. Tehtävä 1

RADIOTEKNIIKKA 1 HARJOITUSTYÖ S-2009 (VERSIO2)

Tehtävä 8. Jännitelähteenä käytetään yksipuolista 12 voltin tasajännitelähdettä.

R = Ω. Jännite R:n yli suhteessa sisäänmenojännitteeseen on tällöin jännitteenjako = 1

LABORATORIOTYÖ 2 A/D-MUUNNOS

RATKAISUT: 22. Vaihtovirtapiiri ja resonanssi

Käytännön radiotekniikkaa: Epälineaarinen komponentti ja signaalien siirtely taajuusalueessa (+ laboratoriotyön 2 esittely)

1. Tasavirtapiirit ja Kirchhoffin lait

LABORATORIOTYÖ 2 A/D-MUUNNOS

Sähkötekiikka muistiinpanot

Taitaja2004/Elektroniikka Semifinaali

TYÖ 2: OPERAATIOVAHVISTIMEN PERUSKYTKENTÖJÄ

OHJELMOITAVA ELEKTRONIIKKA JA ANALOGIATEKNIIKAN TYÖT

a) I f I d Eri kohinavirtakomponentit vahvistimen otossa (esim.

Elektroniikan perusteet, Radioamatööritutkintokoulutus

PIIRIANALYYSI. Harjoitustyö nro 7. Kipinänsammutuspiirien mitoitus. Mika Lemström

Multivibraattorit. Bistabiili multivibraattori:

1 Kohina. 2 Kohinalähteet. 2.1 Raekohina. 2.2 Terminen kohina

DEE Sähkötekniikan perusteet

Radioamatöörikurssi 2017

Magneettinen energia

HARJOITUS 7 SEISOVAT AALLOT TAVOITE

Sähkötekniikan perusteet

FYS206/5 Vaihtovirtakomponentit

SMG-2100: SÄHKÖTEKNIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

DC-moottorin pyörimisnopeuden mittaaminen back-emf-menetelmällä

4. kierros. 1. Lähipäivä

Aineopintojen laboratoriotyöt I. Ominaiskäyrät

A. SMD-kytkennän kokoaminen ja mittaaminen

Fysiikan laboratoriotyöt 1, työ nro: 3, Vastuksen ja diodin virta-jänniteominaiskäyrät

83950 Tietoliikennetekniikan työkurssi Monitorointivastaanottimen perusmittaukset

1 Olkoon suodattimen vaatimusmäärittely seuraava:

LABORATORIOTYÖ 1 MITTAUSVAHVISTIMET

PID-sa a timen viritta minen Matlabilla ja simulinkilla

Tietoliikennesignaalit & spektri

Työ 31A VAIHTOVIRTAPIIRI. Pari 1. Jonas Alam Antti Tenhiälä

Fluke 279 FC -yleismittari/lämpökamera

Luento 8. Suodattimien käyttötarkoitus

LABORAATIO 1, YLEISMITTARI JA PERUSMITTAUKSET

ELEKTRONISET JÄRJESTELMÄT, LABORAATIO 1: Oskilloskoopin käyttö vaihtojännitteiden mittaamisessa ja Theveninin lähteen määritys yleismittarilla

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

EVTEK/ Antti Piironen & Pekka Valtonen 1/6 TM01S/ Elektroniikan komponentit ja järjestelmät Laboraatiot, Syksy 2003

3. kierros. 2. Lähipäivä

Transistoreiden merkinnät

Kannattaa opetella parametrimuuttujan käyttö muidenkin suureiden vaihtelemiseen.

Mikä se on? Olle Holmstrand, SM6DJH (Käännös: Thomas Anderssén, OH6NT)

Radioamatöörikurssi 2014

Johdatus vaihtosähköön, sinimuotoiset suureet. DEE Piirianalyysi Risto Mikkonen

1. a) Piiri sisältää vain resistiivisiä komponentteja, joten jännitteenjaon tulos on riippumaton taajuudesta.

Vastksen ja diodin virta-jännite-ominaiskäyrät sekä valodiodi

IIZE3010 Elektroniikan perusteet Harjoitustyö. Pasi Vähämartti, C1303, IST4SE

Digitaalinen signaalinkäsittely Desibeliasteikko, suotimen suunnittelu

PERMITTIIVISYYS. 1 Johdanto. 1.1 Tyhjiön permittiivisyyden mittaaminen tasokondensaattorilla . (1) , (2) (3) . (4) Permittiivisyys

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 2

S SÄHKÖTEKNIIKKA Kimmo Silvonen

Laitteita - Yleismittari

1 Muutokset piirilevylle

12. Stabiilisuus. Olkoon takaisinkytketyn vahvistimen vahvistus A F (s) :

MITTALAITTEIDEN OMINAISUUKSIA ja RAJOITUKSIA

Push-Pull hakkurin suunnittelu ja mitoitus:

Petri Kärhä 04/02/04. Luento 2: Kohina mittauksissa

LAUSEKKEET JA NIIDEN MUUNTAMINEN

SMG-2100: SÄHKÖTEKNIIKKA. Kirchhoffin lait Aktiiviset piirikomponentit Resistiiviset tasasähköpiirit

Automaation elektroniikka T103403, 3 op SAU14snS. Pekka Rantala kevät 2016

Tehtävä 1. Vaihtoehtotehtävät.

6. Analogisen signaalin liittäminen mikroprosessoriin Näytteenotto analogisesta signaalista DA-muuntimet 4

2 Yhtälöitä ja epäyhtälöitä

Transkriptio:

KANDIDAATINTYÖ Tuukka Junnikkala SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2015

KANDIDAATINTYÖ Tuukka Junnikkala Ohjaajat: Kari Määttä, Antti Mäntyniemi SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2015

Junnikkala T. (2015) Kandidaatintyö. Oulun yliopisto, sähkötekniikan osasto, sähkötekniikan koulutusohjelma. Kandidaatintyö, 96 s. TIIVISTELMÄ Tämä kandidaatintyö koostuu Analogiatekniikan työt ja Ohjelmoitava elektroniikka kurssien kirjallisista osista. Analogiatekniikan töiden osuus koostuu suunnitteluharjoitusta edeltävistä kirjallisista esitehtävistä. Niissä perehdytään elektronisten piirien suunnitteluun ja niiden toiminnan varmentamiseen piirisuunnittelutyökalujen avulla. Tarkastelun kohteena ovat pääasiassa yksinkertaiset passiiviset ja aktiiviset komponentit. Ohjelmoitavan elektroniikan kurssissa puolestaan suunniteltiin jaksollisen digitaalisen signaalin kellotaajuutta mittaava laite, joka toteutettiin ohjelmoitavan FPGA-piirin (fieldprogrammable gate array) avulla. Avainsanat: tekniikan kandidaatintyö, analogiatekniikka, digitaalitekniikka, taajuusmittari, field-programmable gate array.

Junnikkala T. (2015) Bachelor s Thesis. University of Oulu, Department of Electrical Engineering, Degree Programme in Electrical Engineering. Bachelor s Thesis, 96 p. ABSTRACT This Bachelor s Thesis consists of the written portions for the courses Laboratory Exercises on Analogue Electronics and Programmable Electronics. The analogue electronics portion consists of the written pre-exercises preceding the design exercise. In them one gains more familiarity with designing electronic circuits and how to verify their operation using circuit simulation software. Chiefly simple passive and active components are reviewed. In the Programmable Electronics course the task was to design a device that can measure the frequency of a periodic digital signal. The design was realised using a programmable FPGA (field-programmable gate array) chip. Keywords: Bachelor of Science thesis, analogue electronics, digital electronics, frequency meter, field-programmable gate array.

SISÄLLYSLUETTELO TIIVISTELMÄ ABSTRACT SISÄLLYSLUETTELO 1. ANALOGIATEKNIIKAN TYÖT...5 1.1. Passiiviset komponentit ja diodit...5 1.1.1. Vastusjaon analysoiminen...5 1.1.2. AC-kytketyn vastusjaon analysoiminen...7 1.1.3. Alipäästävän vastusjaon analysoiminen...10 1.1.4. Puoliaaltotasasuuntaajan analysoiminen...13 1.1.5. Yksinkertaisen AC/DC-muuntimen analysoiminen...15 1.1.6. Kaksipuolisen DC-jännitelähteen suunnitteleminen...16 1.2. BJT-vahvistin...18 1.2.1. Heikosti biasoidun BJT-vahvistimen analysoiminen...18 1.2.2. Yhteisemitterikytketyn vahvistinasteen analysoiminen...25 1.3. Operaatiovahvistin...37 1.3.1. Avoimen silmukan toiminta...37 1.3.2. Suljetun silmukan toiminta...39 1.4. Differentiaalipari...46 1.4.1. Takaisinkytkemättömän vahvistimen toiminta...46 1.4.2. Takaisinkytketyn vahvistimen toiminta...55 2. OHJELMOITAVA ELEKTRONIIKKA...64 2.1. Vaatimusmäärittely...64 2.1.1. Suorituskyky ja reunaehdot...64 2.1.2. Toimintaperiaate...66 2.1.2.1. Taajuuden mittaaminen...66 2.1.2.2. Sisäinen signaaligeneraattori...67 2.1.3. Käyttöliittymä...68 2.1.4. Testattavuus...68 2.1.5. Toteutettavuusanalyysi...68 2.2. Rekisterisiirtotason kuvaus...69 2.2.1. Rakennelohkot...69 2.2.2. Lohkojen rakenne ja toimintaperiaate...69 2.2.2.1. Ohjausosa...69 2.2.2.2. Taajuusmittari...72 2.2.2.3. Signaaligeneraattori...73 2.3. Käytännöntoteutus...74 3. LIITTEET...80

1. ANALOGIATEKNIIKAN TYÖT Tämä ensimmäinen osa kandidaatintyöstä sisältää kuvaukset Analogiatekniikan työt kurssin esitehtävissä esitetyistä kysymyksistä ja vastaukset kuhunkin niistä. Tehtävien tarkoituksena on perehtyä elektronisten piirien suunnitteluun ja niiden toiminnan varmentamiseen piirisuunnittelutyökalujen avulla. Tarkastelun kohteena ovat pääasiassa yksinkertaiset passiiviset ja aktiiviset komponentit. Harjoituksissa vaaditut simuloinnit suoritettiin käyttäen OrCAD-piirisuunnitteluohjelman ilmaista 9.2 Lite versiota. 1.1. Passiiviset komponentit ja diodit 1.1.1. Vastusjaon analysoiminen Tehtävässä 1.1 esitettiin kuvan 1 mukainen kytkentä, jonka DC-toimintapiste eli lähtöjännite ja vastusten läpi kulkeva virta pyydettiin laskemaan, kun jännitelähteen DC-komponentti on 5 V ja AC-jännite 0 V. Vastuksien läpi kulkeva virta saadaan laskettua jakamalla niiden yli oleva jännite vastuksien yhteisresistanssilla: I DC = V DC 5 V = 1,67 ma (1) R 1 + R 2 1 kω + 2 kω Piirin lähtöjännite puolestaan voidaan laskea vähentämällä viidestä voltista vastuksen R 1 yli jäävä jännite: V OUT = V DC R 1 I DC = 5 V 1 kω 1,67 ma = 3,33 V (2)

6 Kuva 1. Vastusjako. Seuraavaksi tehtävässä pyydettiin tarkistamaan saatu tulos simuloimalla kytkennän DC-toimintapiste. Simulointitulokset on esitetty kuvassa 2 ja ne vastaavat käsin laskettuja arvoja. Toisena simulointina haluttiin suoritettavan transienttianalyysi kymmenen jaksonpituuden ajalta käyttäen signaalilähteen DC-jännitteenä 5 volttia AC-komponentin amplitudin ollessa 1 V ja taajuus 1 khz. Tulo- ja lähtösignaali on tulostettu kuvaan 3, josta nähdään AC-signaalin amplitudin olevan lähdössä noin 0,67 V. Kuva 2. Vastusjaon DC-toimintapiste.

7 Kuva 3. Vastusjaon tulo- ja lähtöjännite. 1.1.2. AC-kytketyn vastusjaon analysoiminen Kuvan 4 mukaista AC-kytkettyä vastusjakoa pyydettiin analysoimaan tehtävässä 1.2 käyttäen tulosignaalina 1 khz taajuista sakara-aaltoa, jonka jännite vaihtelee välillä ±2,5 V. Signaalin nousu- ja laskuaika ovat 1 µs, periodi 1 ms, pulssinleveys puolet periodista ja alkamisviive 10 ms. Kuvassa näkyvän DC-jännitelähteen arvo on puolestaan 5 V. Kytkennälle tuli suorittaa transienttianalyysi 50 millisekunnin ajalta ja selittää kuvaajassa havaittava alkutransientti sekä signaalin aaltomuoto. Tulokset ovat nähtävissä kuvassa 5, jossa tulosignaali on sijoitettu ylös ja lähtö sen alapuolelle.

8 Kuva 4. AC-kytketty vastusjako. Kuva 5. AC-kytketyn vastusjaon tulo- ja lähtöjännite. Kuvasta nähdään, että lähtösignaalin DC-taso on signaalin alettua aluksi korkeampi kuin kohdassa 1.1.1. laskettu vastusjaon asettama 3,33 V, mutta se asettuu kohtalaisen nopeasti kyseiselle tasolle. Alkutransientti aiheutuu kytkennässä siitä, että kondensaattori C 1 on ehtinyt varautua 10 ms ajan ennen kuin AC-signaalia aletaan

9 syöttää piirin. Tämän takia AC-signaali summautuu aluksi suoraan lähdön DCjännitteen päälle, mutta tarpeeksi monen jatkon kuluttua kondensaattorin varaus päätyy tasapainotilaan ja lähdön DC-taso asettuu kohdalleen. Toisena seikkana huomataan, että sakara-aallon harjat eivät ole lähdössä enää tasahuippuisia, vaan huipun amplitudi pienenee kohti sakaran jälkimmäistä reunaa. Ilmiö johtuu siitä, että kondensaattori tilanteesta riippuen joko purkautuu tai latautuu niin kauan kun AC-signaali pysyy vakioarvossa, vieden lähdön arvoa hiljalleen kohti DC-komponentin jännitettä. Seuraavaksi kytkentää piti myös simuloida kapasitanssin arvoilla 30 µf ja 50 µf. Kyseisten simulointien tulokset on esitetty kuvissa 6 ja 7. Voidaan havaita kondensaattorin arvon kasvattamisen pidentävän alkutransientin kestoa. Tämä johtuu siitä, että kapasitanssin kasvaessa kondensaattoriin voidaan kerätä suurempi varaus ja tämän ylimääräisen varauksen purkautuminen vaatii kauemmin kuin pienemmän kapasitanssin kun tilanne on muuten identtinen. Positiivisena puolena suuremman kondensaattorin käyttäminen parantaa sakara-aallon aaltomuodon säilymistä, kuten kuvia vertailemalla voidaan todeta. Amplitudin hitaampi pieneneminen johtuu niin ikään siitä, että suuremman kondensaattorin purkaminen ja lataaminen vaatii pidemmän ajanjakson loiventaen täten lähdön huippujen vaimenemista. Kuva 6. AC-kytketyn vastusjaon tulo- ja lähtöjännite kapasitanssin arvolla 30 µf.

10 Kuva 7. AC-kytketyn vastusjaon tulo- ja lähtöjännite kapasitanssin arvolla 50 µf. 1.1.3. Alipäästävän vastusjaon analysoiminen Tehtävässä 1.3 tarkasteltiin kuvan 8 vastusjakoa, jossa maata vasten olevan vastuksen rinnalle on kytketty kondensaattori. Piirille haluttiin suoritettavan kolme transienttianalyysiä signaalin taajuuksilla 1 khz, 10 khz ja 100 khz simulointien kestäessä viiden sinijakson verran. Signaalilähteen DC- ja AC-jännitteiden arvot olivat samat kuin kohdassa 1.1.1. eli 5 V ja 1 V. Simulointien tulokset ovat nähtävillä kuvissa 9, 10 ja 11 tulostettuna samalle amplitudiasteikolle vertailun helpottamiseksi.

11 Kuva 8. Alipäästävä vastusjako. Kuva 9. Alipäästävän vastusjaon lähtöjännite taajuudella 1 khz.

12 Kuva 10. Alipäästävän vastusjaon lähtöjännite taajuudella 10 khz. Kuva 11. Alipäästävän vastusjaon lähtöjännite taajuudella 100 khz.

13 Kuvista nähdään lähtösignaalin amplitudin pienenevän taajuuden kasvaessa. Ilmiö johtuu piirissä olevasta kondensaattorista, jonka impedanssi pienenee taajuuden kasvaessa lähestyen oikosulkua mentäessä riittävän suurille taajuuksille. Koska kondensaattorin toinen puoli on kytketty maihin, lähdön jännite lähenee maapotentiaalia taajuuden kasvaessa. Transienttianalyysien lisäksi piirille ajettiin AC-analyysi taajuusalueella 1 Hz 10 MHz käyttäen edelleen samoja DC- ja AC-jännitteitä. Kuvaan 12 on tulostettu saatu amplitudivaste logaritmiselle taajuusakselille. Kuvasta havaitaan lähdön vaimenevan voimakkaasti noin kymmentä kilohertsiä suuremmilla taajuuksilla. Kyseessä on siis alipäästävä piiri ja sen rajataajuus muodostuu kondensaattorin arvosta yhdessä vastuksien rinnankytkennän kanssa. Kuva 12. Alipäästävän vastusjaon lähdön taajuusvaste. 1.1.4. Puoliaaltotasasuuntaajan analysoiminen Kuvassa 13 näkyy yksinkertainen diodin ja vastuksen kytkentä johon kytketyn jännitelähteen DC-jännite on 0 V, AC-jännite 5 V ja taajuus 1 khz. Piirin transienttivastetta simuloitiin 5 ms ja tulokset on esitetty kuvassa 14. Tehtävässä 1.4 kysyttiin, minkä käytännön funktion kytkentä suorittaa sekä kuinka suuri on tulon ja lähdön positiivisen puolijakson jännite-ero ja mistä se aiheutuu.

14 Kuva 13. Puoliaaltotasasuuntaaja. Kuva 14. Puoliaaltotasasuuntaajan tulo- ja lähtöjännite taajuudella 1 khz. Kuten simulointituloksista voidaan nähdä, kytkentä toimii puoliaaltotasasuuntaajana. Käyttäytyminen johtuu piirissä olevasta diodista, joka johtaa vain tulosignaalin positiivisten puolijaksojen aikana diodin ollessa myötäsuuntaan kytketty. Lähtösignaalin huippuarvo on noin 4,36 V, joten lähdön ja tulon jännite-ero on noin 0,64 V. Se aiheutuu diodin yli jäävästä kynnysjännitteestä, joka on tyypillisesti puolijohde-

15 diodeilla luokkaa 0,6 V 0,7 V. 1.1.5. Yksinkertaisen AC/DC-muuntimen analysoiminen Edellisen tehtävän kytkentään lisätään tehtävässä 1.5 kondensaattori kuvan 15 mukaisesti ja kysytään kuinka lähtösignaali muuttuu edellisestä tilanteesta. Lisäksi halutaan vastaus siihen mihin kytkentää voidaan käyttää. Kuva 15. Kondensaattorilla varustettu puoliaaltotasasuuntaaja. Piirin tulo- ja lähtösignaali ovat nähtävillä kuvassa 16, josta voidaan havaita lähdön laskevan lisätyn kondensaattorin takia paljon hitaammin tulosignaalin alkaessa lähestyä nollaa sinin positiivisen huipun jälkeen. Lähtö jatkaa hiljalleen vähenemistään, kunnes tulojännite nousee taas tarpeeksi korkeaksi ladaten kondensaattoria seuraavaan positiiviseen huippuun saakka. Tuloksesta voidaan todeta, että tarkasteltavaa kytkentää on mahdollista käyttää erittäin yksinkertaisena tapana tuottaa vaihtojännitteestä tasajännitettä, jossa esiintyy jonkin verran rippeliä.

16 Kuva 16. Kondensaattorilla varustetun puoliaaltotasasuuntaajan tulo- ja lähtöjännite taajuudella 1 khz. 1.1.6. Kaksipuolisen DC-jännitelähteen suunnitteleminen Tehtävässä 1.6 pyydettiin suunnittelemaan kokoaaltotasasuuntaajaan perustuva kaksipuolinen DC-jännitelähde, jossa on kolme ulostuloa: +, - ja maa. Jännitelähteen tuloon kytketään väliulosotolla varustettu verkkovirtamuuntaja, joka muuntaa 50 Hz taajuisen 230 V:n suuruisen jännitteen vaihtojännitteeksi, jonka amplitudi on 15 V. Piirisimulaattorissa muuntajan tilalla käytettiin kahta 15 voltin sinijännitelähdettä. Tehtävässä vaadittiin mitoittamaan jännitelähteen suodatinkondensaattorit siten, että lähtöjännite ei 100 ma:n maksimikuormituksellakaan laske alle 12 voltin. Simuloinneissa kuormana käytettiin virtalähteitä. Suodatinkondensaattoreiden mitoituksessa käytetään hyväksi tietoa siitä, että piirin aikavakion ollessa paljon suurempi kuin puolet jakson periodista, lähtöjännitteen voidaan olettaa laskevan lähes lineaarisesti. Näin ollessa voidaan rippelijännitteelle käyttää approksimaatiokaavaa V ripple I load 2fC, (3)

17 josta ratkaisemalla C voidaan laskea sopiva kapasitanssin arvo kondensaattoreille: C I load 100 ma = 430 μf (4) 2fV ripple 2 50 Hz (15 V 0,7 V 12 V) Neljällä diodilla ja kahdella samansuuruisella suodatinkondensaattorilla toteutetun DC-jännitelähteen piirikaavio on esitetty kuvassa 17. Kuva 17. Kokoaaltotasasuuntaajaan perustuva kaksipuolinen DC-jännitelähde. Kytkennälle suoritetun transienttianalyysin tulokset on tulostettu kuvaan 18 muutaman sinijakson pituudelta. Kuvaajasta nähdään jännitelähteen molempien lähtöjen täyttävän niille asetetun vaatimuksen pysyä absoluuttiselta arvoltaan suurempana kuin 12 V.

18 Kuva 18. Suunnitellun jännitelähteen lähtöjännitteet muutaman jakson ajalta. 1.2. BJT-vahvistin 1.2.1. Heikosti biasoidun BJT-vahvistimen analysoiminen Tehtävässä 2.1 tarkastelun kohteena oli yksinkertainen BJT-vahvistinkytkentä, jonka piirikaavio on esitetty kuvassa 19. Ensimmäisenä tehtävänä pyydettiin laskemaan BJT-vahvistimen toimintapiste eli I C ja V CE olettaen virtavahvistuskertoimen β olevan 100. Kollektorivirran selvittämiseksi lasketaan ensin vastuksen R B läpi kulkeva virta, joka on samalla vahvistimen kantavirta: I B = 10 V 0,7 V 1 MΩ = 9,3 μa (5) Kysytty kollektorivirta on yksinkertaisesti virtavahvistus kertaa kantavirta, josta saadaan tulokseksi 0,93 ma. Koska vahvistimen emitteri on maapotentiaalissa, V CE on tässä tapauksessa sama kuin kollektorilla oleva jännite, joka on helppo laskea nyt kun kollektorivirta on tiedossa: V CE = 10 V R C I C = 10 V 1,3 kω 0,93 ma 8,8 V (6)

19 Toimintapiste piti lisäksi varmentaa simuloimalla se piirisimulaattorilla. Saatu tulos on näkyvillä kuvassa 20 ja toimintapiste vastaa laskettuja arvoja. Kuva 19. Yksinkertaisesti biasoitu BJT-vahvistin. Kuva 20. Vahvistinkytkennän toimintapiste virtavahvistuksen arvolla 100. Seuraavaksi tehtävässä pyydettiin arvioimaan toimintapisteen perusteella lähtösignaalin lineaarista maksimiamplitudia. Alhaaltapäin rajan lähtösignaalin maksimiamplitudille asettaa transistorin pysyminen poissa saturaatiosta, eli kollektorijännitteen täytyy pysyä vähintään noin 0,2 V emitterijännitteen yläpuolella. Ylhäältä-

20 päin rajan puolestaan asettaa käyttöjännite 10 V, jonka yli kollektorijännite ei pysty menemään. Kollektorin DC-jännite on asettunut tasolle 8,8 V, joten käyttöjännite on tässä tilanteessa lähdön maksimiamplitudia rajoittava tekijä sen tullessa aiemmin vastaan kuin transistorin saturoituminen. Lähtösignaalin amplitudi voi siis maksimissaan olla noin 1,2 V ennen kuin sen positiiviset huiput alkavat leikkautumaan. Transistorin virtavahvistuskertoimeksi piti tämän jälkeen vaihtaa arvo 500. Piiri simuloitiin uudestaan ja saatu toimintapiste on tulostettu kuvaan 21. Kollektorivirta on nyt viisinkertainen aiempaan verrattuna ja V CE on pudonnut 8,8 voltista noin neljään volttiin. Tehtävässä kysytään kuinka muutos vaikuttaa lähtösignaaliin. Tarkastelemalla kytkentää voidaan johtaa piirin lähtöjännitteelle yhtälö v out = i c (R C R L ) = βi B(R C R L ) V T v in. (7) Johdettua lauseketta tarkastelemalla voidaan todeta lähtöjännitteen muuttuvan suoraan verrannollisesti virtavahvistukseen nähden. Koska virtavahvistus nostettiin viisinkertaiseksi, myös lähtöjännite on nyt viisinkertainen aiempaan verrattuna. Tehtävässä tiedustellaankin seuraavaksi, mitä ongelmia voi aiheutua siitä, että käytetään kuvan 19 mukaista biasointikytkentää. Ongelmaksi muodostuu se, että valmistettaessa useita piirejä käyttäen samantyyppisiä BJT-vahvistimia, kunkin piirin lähdön signaalitaso on todennäköisesti keskenään eriävä ja ennalta arvaamaton niiden erisuurien virtavahvistuksien takia. Lisäksi jos virtavahvistuskerroin muuttuu esim. lämpötilamuutoksen takia, myös vahvistimen vahvistus muuttuu. Kuva 21. Vahvistinkytkennän toimintapiste virtavahvistuksen arvolla 500.

21 Tehtävässä täytyi seuraavaksi palauttaa virtavahvistus arvoon 100 ja suorittaa simuloinnit 10 khz:n tulosignaalilla amplitudin arvoilla 1 mv ja 20 mv. Lähtösignaalien muotoa pyydettiin vertaamaan keskenään. Kyseiset signaalit ovat nähtävillä kuvissa 22 ja 23. Amplitudin ollessa 1 mv lähdössä näkyy puhdas sinisignaali, mutta kun tulo nostetaan 20 millivolttiin, lähdön aaltomuoto on selvästi vääristynyt. Havaittu ilmiö johtuu siitä, että tulosignaali on niin suuri että transistori ei toimi enää tarpeeksi pienellä alueella ollakseen riittävän lineaarinen. Tästä seuraa, että signaalin kokema vahvistus riippuu sen kunkin hetkisestä amplitudista muokaten lähdössä näkyvän signaalin muotoa. Kuva 22. Vahvistinkytkennän tulo- ja lähtöjännite tulosignaalin amplitudilla 1 mv.

22 Kuva 23. Vahvistinkytkennän tulo- ja lähtöjännite tulosignaalin amplitudilla 20 mv. Tehtävän seuraavassa kohdassa pyydettiin laskemaan kytkennän tulo- ja lähtöimpedanssi. Lähtöimpedanssi on erittäin helppo selvittää, koska keskitaajuuksilla kondensaattorin C C ollessa periaatteessa oikosulussa, se on yksinkertaisesti vastuksen R C ja kollektorille päin katsottaessa näkyvän impedanssin rinnankytkennän arvo. Koska kollektorilla näkyvä impedanssi on erittäin suuri, voidaan approksimoida lähtöimpedanssin olevan yksinkertaisesti R C eli 1,3 kω. Tuloimpedanssin selvittämiseksi toimintataajuuksilla sovelletaan transistorin kannan solmupisteeseen Kirchhoffin virtalakia ja siitä ratkaistaan tulojännitteen ja -virran osamäärä: i in = v in R B + Z in = v in i in = ( 1 R B + I B V T ) 1 v in (β + 1)r e = ( 1 1 9,3 μa + 1 MΩ 26 mv ) 2,8 kω (8) Lasketut tulo- ja lähtöimpedanssin arvot tuli myös varmentaa simulointien avulla. Tuloimpedanssi simuloitiin jakamalla jännitelähteen jännite piirin siitä ottamalla virralla. Lähtöimpedanssi puolestaan saatiin kytkemällä jännitelähde kuormavastuksen R L tilalle ja asettamalla tulossa olevan jännitelähteen DC- ja AC-jännite nollaksi. Simuloidut impedanssit näkyvät kuvissa 24 ja 25 ja ne vastaavat laskettuja arvoja.

23 Kuva 24. Piirin tuloimpedanssi. Kuva 25. Piirin lähtöimpedanssi.

24 Edellä käytetyn ideaalisen transistorin rinnalle täytyi lopuksi kytkeä kondensaattorit C π = 4 pf ja C µ = 1 pf mallintamaan kanta emitteri- ja kanta kollektori -liitosten välisiä hajakapasitansseja ja piirin taajuusvaste pyydettiin laskemaan. Alarajataajuuden laskemisessa käytetään siihen vaikuttavien kondensaattoreiden C B ja C C aikavakioita: τ 1,L = C B [R B (β + 1)r e ] 1 μf 2,79 kω = 2,79 ms (9) τ 2,L = C C (R C + R L ) 1,00 s (10) f L 1 2π ( 1 τ 1,L + 1 τ 2,L ) 57 Hz (11) Ylärajataajuuteen puolestaan vaikuttaa vain kapasitanssi C µ, koska korkeilla taajuuksilla kondensaattori C B vastaa oikosulkua ja C π näkee näin ollen molemmilla puolillaan maapotentiaalin. Kapasitanssiin C µ liittyvä aikavakio on ja näin ollen kytkennän ylärajataajuudeksi saadaan τ H = C μ (R C R L ) 1,30 ns (12) f H = 1 2πτ H 123 MHz. (13) Viimeinen taajuusvasteen määrittämiseen tarvittava tieto on vahvistus keskitaajuuksilla. Vahvistus saadaan jakamalla edellä esitetty yhtälö (7) piirin lähtöjännitteelle puolittain tulojännitteellä, jolloin saadaan A M = v out v in = ( ) βi B(R C R L ) V T ( )46,4 33,3 db, (14) missä miinusmerkki tarkoittaa sitä, että kyseessä on vaiheen kääntävä vahvistin. Laskettu taajuusvaste piti myös tarkistaa simuloinnin avulla ja tehtävässä kysyttiin kuinka taajuusvaste muuttuu verrattuna ideaalisen transistorin tapaukseen. Simuloitu taajuusvaste on tulostettu kuvaan 26 ja sen ominaisuudet vastaavat laskettuja arvoja. Ideaalisen transistorin tapaukseen verrattuna taajuusvasteella on pelkän alarajataajuuden lisäksi nyt myös ylärajataajuus.

25 Kuva 26. Hajakapasitansseilla varustetun piirin taajuusvaste. 1.2.2. Yhteisemitterikytketyn vahvistinasteen analysoiminen Tehtävässä 2.2 tarkasteltiin kuvan 27 mukaista yhteisemitterikytkettyä BJT-vahvistinta. Kuten edellisessä tehtävässä, transistorin virtavahvistuskertoimeksi asetettiin 100 ja kytkennän analysoiminen aloitettiin laskemalla vahvistimen toimintapiste. Ensimmäisenä lasketaan BJ-transistorin kannalla oleva jännite: V B = R B2 ( 10 V V B V B 0,7 V ) R B1 (β + 1)R E1 10 V 0,7 V R + B1 (β + 1)R V B = E1 1 R + 1 3,17 V 1 B1 R + B2 (β + 1)R E1 (15) Emitterin jännite on yksinkertaisesti kannan jännite miinus diodin kynnysjännite jonka avulla on helppo laskea emitterivirta: V E = V B 0,7 V = 2,47 V, (16)

26 I E = V E R E1 = 2,47 ma (17) Ensimmäiseen kysyttyyn arvoon I C päästään nyt käsiksi käyttäen hyväksi tietoa siitä, että kollektorivirta on parametri α kertaa emitterivirta, jolloin saadaan kollektorivirraksi I C = αi E = β β + 1 I E 2,45 ma. (18) Seuraava looginen askel on laskea kollektorilla oleva jännite ja sen avulla päästään toiseen kysyttyyn arvoon, joka on kollektori emitteri -jännite: V C = 10 V R C I C 6,82 V (19) V CE = V C V E = 4,35 V (20) Tulos piti myös tarkistaa piirisimulaattorilla. Simuloitu toimintapiste näkyy kuvassa 28 ja saadut arvot ovat lähellä käsin laskettuja arvoja. Kuva 27. Yhteisemitterikytketty BJT-vahvistin.

27 Kuva 28. Yhteisemitterikytketyn vahvistimen DC-toimintapiste. Vahvistimelle piti edellistä tehtävää mukaillen laskea myös sen tulo- ja lähtöimpedanssi. Molemmat ominaisuudet haluttiin myös simuloitavan ja saatuja arvoja pyydettiin vertaamaan kohdan 1.2.1. heikosti biasoidun vahvistimen vastaaviin arvoihin. Toimintataajuuksilla tuloimpedanssi muodostuu vastuksien R B1 ja R B2 rinnankytkennästä rinnan transistorin kannalle päin katsottaessa näkyvän impedanssin kanssa. Piensignaalimallin resistanssi r e ja emitterillä olevat impedanssit näkyvät erisuurista virroista johtuen β+1 -kertaisina kannalta päin katsottuna. Tarpeeksi alhaisilla taajuuksilla emitterillä näkyy vain vastus R E1, koska kondensaattori C E edustaa tällöin erittäin suurta impedanssia. Taajuuden kasvaessa kondensaattori alkaa kuitenkin johtaa ja suurilla toimintataajuuksilla emitterillä näkyy vastuksien R E1 ja R E2 rinnankytkentä. Näiden tietojen avulla voidaan laskea arvio tuloimpedanssille alhaisilla ja korkeilla toimintataajuuksilla: Z in,l = R B1 R B2 (β + 1)(r e + R E1 ) 6,3 kω (21) Z in,h = R B1 R B2 (β + 1)(r e + R E1 R E2 ) 4,0 kω (22) Vahvistinkytkennän lähtöimpedanssin voi sanoa olevan yksinkertaisesti vastuksen R C arvo eli 1,3 kω, koska BJ-transistorin kannalle päin katsottaessa näkyy erittäin suuri impedanssi, joka vaikuttaa vastuksen R C rinnalla erittäin vähän piirin lähtöimpedanssiin.

28 Kummankin impedanssin simuloinnit suoritettiin vastaavalla tavalla kuin edellisessä tehtävässä ja saadut kuvaajat on tulostettu kuviin 29 ja 30. Käyriä tarkastelemalla voidaan todeta simuloitujen impedanssien vastaavan laskettuja arvoja. Vertaamalla tuloksia heikosti biasoidun piirin tapaukseen nähdään lähtöimpedanssin pysyneen samana, mutta tuloimpedanssin kasvaneen noin 2,8 kω:sta yli neljään kiloohmiin koko toiminta-alueella. Kuva 29. Yhteisemitterikytketyn vahvistimen tuloimpedanssi.

29 Kuva 30. Yhteisemitterikytketyn vahvistimen lähtöimpedanssi. Seuraavaksi laskettiin kytkennän jännitevahvistus. Koska emitterin AC-virta on muotoa ja kollektorivirta i e = saadaan kytkennän lähtöjännitteelle johdettua yhtälö v in r e + R E1 R E2 (23) i c = αi e, (24) v out = (R C R L )i c = α(r C R L ) r e + R E1 R E2 v in. (25) Jakamalla yhtälö puolittain tulojännitteellä saadaan jännitevahvistukseksi A M = v out v in β = ( ) β + 1 R C R L r e + R E1 R E2 ( )12,7 22,1 db. (26)

30 Tulos pyydettiin tarkistamaan simuloimalla ja saatu vahvistus on luettavissa kuvasta 31, josta nähdään 1 mv:n signaalin tuottavan lähdössä noin 12,5 mv:n vastakkaisvaiheisen jännitteen, joka vastaa laskettua arviota. Kuva 31. Vahvistinkytkennän tulo- ja lähtöjännite taajuudella 10 khz. Ohjeissa haluttiin seuraavaksi nostaa virtavahvistuskerroin arvoon 500, kuten tehtiin edellisessä tehtävässä ja simuloida toimintapiste uudelleen. Lisäksi pyydettiin vertaamaan tuloksia heikosti biasoidun piirin tapaukseen. Kuvassa 32 näkyy saatu uusi DC-toimintapiste ja kun sitä verrataan edelliseen tulokseen, voidaan todeta toimintapisteen muuttuneen hieman, mutta ei läheskään yhtä radikaalisti kuin heikosti biasoidussa vahvistimessa.

31 Kuva 32. Vahvistimen toimintapiste virtavahvistuksen ollessa 500. Toimintapisteen simuloinnin jälkeen virtavahvistus palautettiin sen alkuperäiseen arvoon ja kytkennälle suoritettiin transienttianalyysi tulosignaalin amplitudin ollessa 100 mv. Kuten kuvasta 33 nähdään, vahvistin pystyy vahvistamaan signaalin ongelmitta. Tehtävässä kysytäänkin miksi näin on, kun edellisen tehtävän eritavalla biasoitu vahvistin ei kykene samaan. Vastaus kysymykseen käy selväksi, kun tarkastellaan vahvistinpiirin emitteriä. Edellisessä tehtävässä emitteri oli kytketty maahan, joten transistorin kannan ja emitterin välinen AC-jännite oli suoraan piirin tulojännite. Nyt tarkasteltavassa biasointitavassa emitterillä on kuitenkin kaksi vastusta eikä emitterijännite ole enää vakio, vaan se riippuu tulosta. Kuten aiemmin tehtävässä todettiin, emitterin AC-virralle voidaan johtaa yhtälö ja lisäksi tiedetään, että i e = Näiden kahden tiedon avulla saadaan johdettua v be :ksi v in r e + R E1 R E2 (27) v e = (R E1 R E2 )i e. (28)

32 v be = v in v e = (1 R E1 R E2 r e + R E1 R E2 ) v in 0,104v in. (29) Jännite v be on nyt siis vain noin kymmenesosa tulojännitteestä, mikä tarkoittaa että tulojännite voi olla noin kymmenenkertainen heikosti biasoidun piirin tapaukseen verrattuna ennen kuin bipolaaritransistorin epälineaarisuus asettuu ongelmaksi. Tämä selittää miksi 100 mv:n signaali vahvistuu ongelmitta kun kohdan 1.2.1. tapauksessa jo 20 mv:n signaali vääristyi näkyvästi. Kuva 33. Tulo- ja lähtöjännite taajuudella 10 khz tulojännitteen ollessa 100 mv. Seuraavaksi tehtävässä haluttiin, että kuormavastus R L muutetaan kollektorivastuksen R C suuruiseksi. Kytkentä tuli sitten simuloida ja tehtyjen havaintojen perusteella pyydettiin selittämään kuinka R C tulisi mitoittaa suhteessa kuormavastuksen arvoon. Simulointitulos on tulostettu kuvaan 34 ja siitä nähdään piirin vahvistuksen pudonneen noin puoleen siitä mitä se oli kuvassa 31. Tarkastelemalla edellä lausekkeessa 26 piirille johdettua jännitevahvistusta, nähdään että vahvistimen vahvistus on kollektorilla oleva resistanssi jaettuna emitterillä olevalla resistanssilla. Kollektorilla on kollektorivastuksen ja kuormavastuksen rinnankytkentä. Kuormavastuksen ollessa erittäin suuri verrattuna kollektorivastukseen kollektorilla näkyvä impedanssi on noin R C. Kuitenkin jos kuormavastus on samansuuruinen kuin R C, kollektorilla oleva resistanssi puolittuu ja näin ollen myös vahvistus putoaa puoleen. Jotta signaali vaimenisi mahdollisimman vähän, vastuksen R C tulee täten olla mahdollisimman pieni

33 suhteessa kuormaimpedanssiin. Kuva 34. Tulo- ja lähtöjännite kuormavastuksen ollessa 1,3 kω. Kuormavastus palautettiin edellisen simuloinnin jälkeen takaisin alkuperäiseen arvoonsa ja lähtökondensaattorin C C arvo vaihdettiin 10 pf:iin ja piirille ajettiin uusi transienttianalyysi, jonka tulokset näkyvät kuvassa 35. Todetaan kondensaattorin pienentämisen vähentäneen tulon ja lähdön välistä vaihe-eroa sekä vaimentaneen kytkennästä saatavaa vahvistusta. Ilmiö johtuu siitä, että kondensaattori aiheuttaa piirissä ylipäästävän vasteen ja sen arvon muuttaminen siirtää sen aiheuttaman nurkkataajuuden 0,16 hertsistä noin 16 kilohertsiin, jolloin sen vaikutus alkaa näkyä käytetyssä 10 khz:n testisignaalissa.

34 Kuva 35. Tulo- ja lähtöjännite kondensaattorin C C arvolla 10 pf. Seuraavaa simulointia varten kondensaattorin C C arvo palautettiin takaisin yhteen mikrofaradiin ja signaaligeneraattorin lähtöön lisättiin 1 kω:n vastus kuvaamaan sen lähtöimpedanssia. Kytkennän jännitevahvistus selvitettiin samalla tavalla kuin aiemmin käyttäen 10 khz:n tulosignaalia ja tulokset on esitetty kuvassa 36. Kun kuvaajaa verrataan kuvaan 31, nähdään vahvistuksen pudonneen noin kahdestatoista ja puolesta lähelle kymmentä. Signaalin vaimeneminen johtuu signaalilähteen lähtöresistanssin ja vahvistinkytkennän tuloimpedanssin muodostamasta jännitejaosta, jonka vaikutusta voidaan vähentää kasvattamalla piirin tuloimpedanssia. Simuloinnin tulos pyydettiin varmentamaan laskutoimituksin käyttäen apuna kytkennän tuloimpedanssia. Koska piirin jännitevahvistus ideaalisen signaalilähteen tapauksessa on jo laskettu aiemmin, se tarvitsee vain kertoa tulossa tapahtuvan vaimenemisen vaikutuksella: A M = Z in,h 4039 Ω A Z in,h + R M,ideal = ( 12,67) 10,2 (30) S 4039 Ω + 1 kω Laskettu arvo ja simulointitulos siis tukevat toisiaan.

35 Kuva 36. Tulo- ja lähtöjännite kun piirissä mukana lähdeimpedanssi. Seuraavaksi signaaligeneraattorin lähtöresistanssi poistettiin kytkennästä ja piirin alarajataajuus pyydettiin selvittämään sekä laskemalla että suorittamalla AC-analyysi välillä 100 Hz 100 khz. Kukin kolmesta piirissä olevasta kondensaattorista vaikuttaa alarajataajuuteen ja niihin liittyvät aikavakiot saadaan selville tarkastelemalla niiden näkemiä resistansseja muiden kondensaattoreiden ollessa oikosuljettuina: τ 1,L = C B [R B1 R B2 (β + 1)(r e + R E1 R E2 )] 4,04 ms (31) τ 2,L = C C (R C + R L ) 1,00 s (32) τ 3,L = C E (R E2 + r e R E1 ) 0,110 ms (33) Kun lasketaan aikavakioiden yhteisvaikutus, saadaan kytkennän alarajataajuudeksi f L 1 2π ( 1 τ 1,L + 1 τ 2,L + 1 τ 3,L ) 1,49 khz. (34) Pyydetyn taajuusanalyysin tulos käy ilmi kuvassa 37 ja siitä nähdään alarajataajuuden -3 db:n pisteen olevan noin 1,44 khz, mikä vastaa laskettua tulosta.

36 Kuva 37. Yhteisemitterikytketyn vahvistimen alarajataajuus. Viimeisenä yhteisemitterikytkettyyn BJT-vahvistimeen liittyvänä tehtävänä vastuksen R E2 arvo piti vaihtaa 10 Ω:iin ja kuormavastuksen R L arvo 200 Ω:iin, jolloin kytkennän AC-vahvistus on edelleen samaa suuruusluokkaa kuin aiemmissa kohdissa. Kytkentä piti simuloida aikatasossa ja simuloinnin antamat käyrät on esitetty kuvassa 38, josta nähdään vahvistuksen olevan noin 6,5 ja lähtö on selvästi kokenut pientä vaihesiirtoa. Laskemalla uudet komponenttiarvot huomioonottaen odotettavissa oleva vahvistus keskitaajuuksilla käyttäen samaa kaavaa kuin aiemmin, saadaan tulokseksi noin 8,4, mikä tarkoittaa saadun jännitevahvistuksen olevan noin kaksi desibeliä odotettua pienempi. Lähdön lievästä vaiheen siirtymisestä voidaan päätellä ilmiön todennäköisesti liittyvän kytkennän päästökaistan sijaintiin taajuustasossa. Kun lasketaan aiemman kohdan tapaan kytkennän alarajataajuus ottaen huomioon uudet R E2 :n ja R L :n arvot, saadaan tulokseksi 8,3 khz, joka on tarpeeksi lähellä käytettyä 10 khz:iä aiheuttaakseen signaalin vaimenemista.

37 Kuva 38. Tulo- ja lähtöjännite taajuudella 10 khz R E2 :n ollessa 10 Ω ja R L :n 200 Ω. 1.3. Operaatiovahvistin 1.3.1. Avoimen silmukan toiminta Tehtävässä 3.1 haluttiin analysoitavan kuvan 39 mukaista ideaalisilla jänniteohjatuilla jännitelähteillä ja passiivisilla komponenteilla toteutettua operaatiovahvistimen sijaiskytkentää. Kytkennälle piti laskea sen avoimen silmukan vahvistus ja napataajuudet, sekä ajaa AC-analyysi ja tulostaa saadut Bode-kuvaajat. Kuva 39. Operaatiovahvistimen sijaiskytkentä. Piirin siirtofunktio voidaan johtaa seuraten seuraavia vaiheita:

38 v E1,OUT = 1000v IN (35) v E2,IN = Z C1 Z C1 + R 1 v E1,OUT = 1000v IN sr 1 C 1 + 1 (36) v E2,OUT = 1000v E2,IN (37) v OUT = v E3,IN = Z C2 Z C2 + R 2 v E2,OUT = 10 6 v IN (sr 1 C 1 + 1)(sR 2 C 2 + 1) H(s) = v OUT 10 6 = v IN (sr 1 C 1 + 1)(sR 2 C 2 + 1) (38) Siirtofunktiossa esiintyvä termi 10 6 on kytkennän avoimen silmukan DC-vahvistus, joka on desibeleinä ilmaistuna 120 db. Operaatiovahvistimen sijaiskytkennällä on kaksi napaa, joiden taajuudet ovat ja f p1 = f p2 = 1 1 = 10,6 Hz (39) 2πR 1 C 1 2π 1 kω 15 μf 1 1 = 106 khz. (40) 2πR 2 C 2 2π 1 kω 1,5 nf Saadut Bode-kuvaajat on esitetty kuvassa 40 ja ne tukevat yllä laskettuja arvoja.

39 Kuva 40. Operaatiovahvistimen sijaiskytkennän Bode-kuvaajat. 1.3.2. Suljetun silmukan toiminta Tehtävässä 3.2 edellisen tehtävän operaatiovahvistimeen lisätään takaisinkytkentä kuvan 41 esittämällä tavalla. Vastuksien R 3 ja R 4 arvot pyydettiin valitsemaan siten, että vahvistus asettuu 20 db:iin. Koska kyseessä on suora vahvistin, sen suljetun silmukan vahvistus on josta saadaan vastuksien arvoille yhtälö A cl = 1 + R 3 R 4 = 10 20 db, (41) R 3 = 9R 4. (42) Valitsemalla vastuksen R 4 arvoksi 1 kω saadaan R 3 :n arvoksi 9 kω. Kytkentä pyydettiin simuloimaan näillä komponenttiarvoilla ja sen Bode-kuvaajat tuli tulostaa, jotta niitä voi verrata edellisen tehtävän avoimen silmukan tilanteeseen. Kuva 42 sisältää molemmat pyydetyistä käyristä ja niistä nähdään vahvistimen olevan nyt paljon laajakaistaisempi kuin avoimen silmukan tapauksessa. Lisäksi takaisinkytke-

40 tyn vahvistimen vaste piikittää ylärajataajuudellaan. Laajakaistaisuus selittyy sillä, että takaisinkytkennässä vahvistuksen ja kaistanleveyden tulo on vakio, joten koska kytkennältä pyydettiin nyt vähemmän vahvistusta, sen kaistanleveys kasvoi vastaavasti samassa suhteessa. Kuvaajassa näkyvä piikitys puolestaan johtuu siitä, että vahvistimen vaihevara on sen ylärajataajuudella nyt pienempi kuin aiemmassa tehtävässä, jossa napataajuudet olivat kaukana toisistaan ja vaste tästä johtuen aika sileästi viettävä. Kuva 41. Takaisinkytketty operaatiovahvistin.

41 Kuva 42. Takaisinkytketyn vahvistimen Bode-kuvaajat vahvistuksen ollessa 20 db. Vahvistus piti seuraavaksi muuttaa 60 db:iin ja simuloinnin tuloksia täytyi verrata edelliseen kohtaan. Lineaariasteikolla pyydetty vahvistus on nyt 1000 ja vastuksien suhteeksi saadaan R 3 = 999R 4. (43) Kun R 4 :ksi valitaan jälleen 1 kω, R 3 :n arvoksi saadaan 999 kω eli noin 1 MΩ. Näillä arvoilla simuloidut Bode-kuvajat on esitetty kuvassa 43. Vertaamalla tulosta edelliseen kohtaan havaitaan kaistanleveyden pienentyneen odotetusti, mutta lisäksi aiemmin havaittu piikitys on poissa. Tämä johtuu siitä, että vahvistimen vaihe ei muutu yhtä jyrkästi kuin edellä, jonka takia vaihevara on parempi vasteen alkaessa viettää alaspäin.

42 Kuva 43. Takaisinkytketyn vahvistimen Bode-kuvaajat vahvistuksen ollessa 60 db. Kytkennän askelvasteen simulointia varten vahvistus palautettiin takaisin 20 db:iin ja signaalilähde asetettiin tuottamaan sakara-aaltoa, jonka jännite vaihteli välillä 0 V 0,1 V. Signaalin nousu- ja laskuaika olivat 100 ps, pulssin leveys 100 µs ja periodin pituus 200 µs. Tulo- ja lähtösignaali on tulostettu kuvaan 44. Lähtöjännitteessä esiintyy nousevien ja laskevien reunojen kohdalla soimista, joka kuitenkin vaimenee kohtalaisen nopeasti. Askelvasteen simulointi suoritettiin seuraavaksi uudelleen sillä erolla, että takaisinkytkentävastuksen rinnalle lisättiin 70 pf:n kondensaattori. Saatu vaste näkyy kuvassa 45 ja siinä ei enää esiinny mainittavaa soimista, tosin askelten reunat ovat myös kohtalaisen loivia.

43 Kuva 44. Takaisinkytketyn operaatiovahvistimen askelvaste. Kuva 45. Askelvaste kun takaisinkytkennässä on 70 pf:n kondensaattori.

44 Kuvassa 44 näkyvä signaalin soiminen johtuu siitä, että vahvistimella on kaksi napaa, jonka takia tarpeeksi korkeille taajuuksille mentäessä piirin vaihevara putoaa olemattomaksi, mikä ei vielä tee kytkennästä epästabiilia, mutta aiheuttaa havaittua soimista. Kondensaattorin lisääminen muuttaa takaisinkytkennän taajuusriippuvaiseksi pienentäen kytkennästä saatavan vahvistuksen kohti ykköstä mentäessä tarpeeksi suurille taajuuksille. Tästä johtuen kaikista korkeimmat syötetyn sakara-aallon taajuudet, jotka aiheuttivat soimista, vaimenevat poistaen soimisen lähes kokonaan. Tehtävässä pyydettiin seuraavaksi toteamaan takaisinkytketyn vahvistimen vahvistuksen ja kaistanleveyden tulon olevan vakio simuloimalla kytkentää kolmella eri vahvistuksen arvolla ja laskemalla tuloksista kyseinen suhde GBW. Simuloitavien vahvistuksien arvoiksi valittiin 70 db, 80 db ja 90 db. Vastuksen R 4 resistanssiksi valittiin jokaisessa kohdassa 1 kω, jolloin vastukselle R 3 saatiin arvot 3,16 MΩ, 10,0 MΩ ja 31,6 MΩ. Saadut taajuusvasteet on tulostettu kuviin 46, 47 ja 48. Kuvien perustella -3 db:n pisteet kullekin tilanteelle ovat 3,46 khz, 1,09 khz ja 346 Hz. Näitä arvoja käyttäen saadaan GBW:lle kolme arvoa, jotka ovat ja 70 db 20 db GBW 1 = 10 3,46 khz 10,9 MHz, (44) 80 db 20 db GBW 2 = 10 1,09 khz = 10,9 MHz (45) 90 db 20 db GBW 3 = 10 346 Hz 10,9 MHz. (46) Lukuja vertaamalla nähdään, että takaisinkytketyn vahvistimen GBW näyttäisi todellakin pysyvän vakiona kun vahvistusta muutetaan.

45 Kuva 46. Takaisinkytketyn vahvistimen taajuusvaste vahvistuksella 70 db. Kuva 47. Takaisinkytketyn vahvistimen taajuusvaste vahvistuksella 80 db.

46 Kuva 48. Takaisinkytketyn vahvistimen taajuusvaste vahvistuksella 90 db. Tehtävän viimeisessä kohdassa kysytään vastaavatko lasketut GBW arvot kuvaajista nähtäviä arvoja eli taajuuksia, joilla vasteet leikkaavat 0 db:n vahvistuksen. Tarkastelemalla kaikkia kolmea tapausta nähdään jokaisen käyrän leikkaavan 0 db:n noin taajuudella 1 MHz eli noin dekadin ennustettua aiemmin. Ero johtuu siitä, että vahvistimella on yhden navan sijasta kaksi napaa ja vahvistuksen ja kaistanleveyden tulo kertoo vahvistimen yksikkökaistaleveyden oikein vain yksinapaisille kytkennöille. Kyseinen tulo pysyy kyllä vakiona vahvistusta muutettaessa, mutta sen arvo kertoo millä taajuudella -20 db viettävä käyrä saavuttaa ykkösvahvistuksen. 1.4. Differentiaalipari 1.4.1. Takaisinkytkemättömän vahvistimen toiminta Tehtävässä 4.1 tarkasteltavana oli kuvan 49 mukainen differentiaalisella tuloasteella varustettu kaksiasteinen vahvistin. Piirin analysoiminen aloitettiin laskemalla kunkin transsitorin DC-toimintapiste eli niiden solmupistejännitteet ja kollektorivirrat.

47 Kuva 49. Differentiaalisella tuloasteella varustettu kaksiasteinen vahvistin. Transistorin Q 1 kantavirta on sama kuin vastuksen R B1 läpi kulkeva virta eli I B1 = V B1 R B1. (47) Tämän tiedon avulla voidaan ratkaista vastuksen R BIAS yli jäävän jännitteen yhtälöstä transistorien Q 1 ja Q 2 yhteinen emitterijännite: V E1 ( 5 V) = R BIAS 2I E1 = 2(β + 1)R BIAS(V E1 + 0,7 V) R B1 5 V + 2(β + 1)R BIAS 0,7 V R V E1 = B1 1 + 2(β + 1)R 0,72 V BIAS R B1 (48) Kummankin differentiaaliparin transistorin kantajännite on diodin kynnysjännitteen verran emitterijännitteen yläpuolella, joten kantajännitteiksi saadaan V B1 = V B2 = V E1 + 0,7 V = 20 mv. (49) Kytkennän symmetrian takia differentiaaliparin kantavirrat ovat identtiset ja siten myös niiden kollektorivirrat ovat samat. Koska BJ-transistorin kollektorivirta on yksinkertaisesti virtavahvistuskerroin kertaa kantavirta, saadaan kollektorivirroiksi

48 19,7 mv I C1 = I C2 = βi B1 = 100 ( 2 kω ) 0,99 ma. (50) Transistorin Q 1 kollektori on kytketty 5 V:n käyttöjännitteeseen, joten sen kollektori emitteri -jännite on V CE1 = 5 V V E1 = 5,72 V. (51) Transistorin Q 2 kollektorijännite puolestaan täytyy laskea käyttäen hyväksi laskettua kollektorivirtaa. Kun oletetaan vastuksen R C2 läpi kulkeva virta kutakuinkin yhtä suureksi kuin virta I C2, saadaan kollektorijännitteeksi ja näin ollen V C2 5 V R C2 I C2 0,76 V (52) V CE2 = V C2 V E2 = 1,48 V. (53) Transistorin Q 3 kantajännite on myös transistorin Q 2 kollektorijännite, joten transistorin Q 3 emitterijännitteeksi saadaan V E3 = V C2 0,7 V 62 mv. (54) Koska transistorin kollektori on kytketty positiiviseen käyttöjännitteeseen, sen kollektori emitteri -jännite on V CE3 = 5 V V E3 4,94 V. (55) Viimeiseen kysyttyyn parametriin eli kollektorivirtaan I C3 päästään käsiksi laskemalla ensin emitterivirta eli vastuksen R E3 läpi kulkeva virta ja kertomalla se termillä α: I C3 = αi E3 = β β + 1 V E3 ( 5 V) 2,0 ma (56) R E3 Kytkennän DC-toimintapiste piti myös simuloida ja saatu tulos käy ilmi kuvasta 50, josta nähdään simuloitujen virtojen ja jännitteiden olevan lähellä edellä laskettuja arvoja. Tehtävässä kysytään miksi piirin lähtöjännite eli vastuksen R L yli oleva jännite on 0 V ja vastaus tähän on, että lähdön kondensaattori C E3 poistaa lähtösignaalista sen DC-tason, jolloin lähdön AC-signaali vaihtelee nollan voltin ympäristössä.

49 Kuva 50. Takaisinkytkemättömän kaksiasteisen vahvistimen DC-toimintapiste. Seuraavaksi tehtävässä täytyi arvioida laskemalla vahvistimen jännitevahvistus, ylärajataajuus, alarajataajuus, tuloimpedanssi ja lähtöimpedanssi. Piirikaaviota tarkastelemalla voidaan muodostaa tuloimpedanssille yhtälö Z in R B1 (β + 1)(r e1 + r e2 ) = R B1 (2 (β + 1) V T I E1 ) 1,45 kω. (57) Tarkastelemalla puolestaan piirin lähtöä voidaan nähdä lähtöimpedanssin olevan Z out = R E3 (r e3 + = [(2,5 kω) 1 26 mv + ( 2,02 ma R C2 β + 1 ) + 4,3 kω 100 + 1 ) 1 ] 1 54,2 Ω. (58) Seuraavaksi tarkastellaan piirin jännitevahvistusta. Edellä lasketun tuloimpedanssin avulla on mahdollista esittää lähdejännitteen ja vahvistinkytkennän näkemän tulojännitteen välinen yhteys, joka on muotoa v in = Z in Z in + R S v s. (59) Seuraavaksi selvitetään transistorin Q 1 emitterillä kulkeva AC-virta muodostamalla yhtälöpari, josta sen pystyy ratkaisemaan. Ensimmäinen yhtälö kertoo transistorin Q 1 dynaamisen resistanssin yli olevan jännitteen:

50 v in v e1 = r e1 i e1 (60) Yhtälöparin jälkimmäinen yhtälö on muodostettu differentiaaliparin emitterijännitteelle ja siinä approksimoidaan vastuksen R BIAS vaikuttavan transistorin Q 2 dynaamisen resistanssin rinnalla niin vähän, että molempien differentiaaliparin transistorien läpi kulkee suunnilleen sama emitterivirta: v e1 = (R BIAS r e2 )i e1 r e1 i e1 (61) Kun jälkimmäinen yhtälö sijoitetaan ensimmäiseen emitterijännitteen paikalle ja yhtälö ratkaistaan emitterivirran suhteen, saadaan i e1 = v in 2r e1. (62) Nyt kun transistorin Q 2 emitterivirta on tiedossa, sen avulla on helppo laskea vastaava kollektorivirta, joka on i c2 = αi e1. (63) Edelleen kollektorivirran avulla saadaan kollektorijännitteeksi v c2 = [R C2 (β + 1)(r e3 + R E3 R L )]i c2 R C2 i c2. (64) Viimeinen tarvittava vaihe jännitevahvistuksen ratkaisemiseen vaatii sellaisen yhtälön muodostamista, jossa esiintyy termi v out ja kaikki muut parametrin ovat tunnettuja. Muodostetaan jälleen kaksi yhtälöä, joista ensimmäinen kertoo transistorin Q 3 kanta emitteri -jännitteen, joka on muotoa Jälkimmäinen yhtälö on puolestaan v c2 v out = r e3 i e3. (65) i e3 = ja kun se sijoitetaan ensimmäiseen, saadaan v out R E3 R L (66) r e3 v c2 = ( + 1) v R E3 R out. (67) L

51 Kun tähän sijoitetaan kaikki edellä ratkaistut parametrit ja yhtälöstä ratkaistaan lähtöja lähdejännitteen suhde, kytkennän jännitevahvistukseksi saadaan = A M = v out = Z in β v s Z in + R S β + 1 R C2 R E3 R L 2r e1 R E3 R L + r e3 1,45 kω 1,45 kω + 100 Ω 100 101 4,3 kω 2 26,12 Ω 2,5 kω 10 kω 2,5 kω 10 kω + 12,84 Ω 75,8 37,6 db. (68) Vahvistimen alarajataajuus lasketaan käyttäen aikavakioita. Alarajataajuuteen vaikuttavat kondensaattorit C B1 ja C E3. C B2 :n vaikutus on pieni, koska vastus R B2 näkyy differentiaaliparin emitterille jaettuna termillä β+1 eli noin 20 Ω:n resistanssina virtavahvistuksen ollessa 100. Taajuuden kasvaessa C B2 alkaa johtaa ohittaen lopulta vastuksen R B2 ja kasvattaen siten virtaa, mutta vaikutuksen voi arvioida olevan niin pieni, että sen voi jättää huomioimatta. Myös kondensaattorin C E3 vaikutuksen voi arvella jäävän pieneksi sen suuren kapasitanssin takia, mutta sen aikavakio päätettiin kuitenkin laskea ja ottaa huomioon alarajataajuuden arvioinnissa. Tulokondensaattorin C B1 aikavakioksi saadaan laskemalla τ 1,L C B1 [R S + R B1 (2 (β + 1)r e1 )] = 10 μf [100 Ω + 2 kω (2 101 26,12 Ω)] 15,5 ms. (69) Kondensaattorin C E3 aikavakio on puolestaan R C2 τ 2,L = C E3 [R L + R E3 (r e3 + β + 1 )] = 1 mf [10 kω + 2,5 kω (12,84 Ω + 4,3 kω )] 10,05 s 100 + 1 (70) ja aikavakioiden yhteisvaikutuksesta saadaan piirin alarajataajuuden arvioksi f L 1 2π ( 1 + 1 ) = 1 τ 1,L τ 2,L 2π ( 1 15,5 ms + 1 ) 10,3 Hz. (71) 10,05 s Vahvistinkytkennän ylärajataajuus määräytyy käytettyjen transistorien sisäisistä kapasitansseista. Kuten aiemmissakin kohdissa, kullekin kapasitanssille lasketaan sen aikavakio: τ 1,H C BC1 [R S R B1 (β + 1)(r e1 + r e2 )] 93,5 ps (72)

52 τ 2,H = C BE1 {(R S R B1 ) + [(V T I C2 ) R BIAS (β + 1)r e2 ]} [1 + R S R B1 (β + 1)r e1 + ( V T I C2 R BIAS (β + 1)r e2 ) ( I C1 V T + 4 pf 59,8 Ω 239 ps 1 1 )] (β + 1)r e1 (73) τ 3,H = C BC2 [R C2 (β + 1)(r e3 + R E3 R L )] 4,21 ns (74) τ 4,H = C BE2 [ I C1 R S R B1 (2 V T (β + 1)r e1 + (R S R B1 ) ) + 1 (β + 1)r e2 + 1 1 1 + ] 52,8 ps R BIAS (β + 1)r e1 + R S R B1 (75) τ 5,H = C BC3 [R C2 (β + 1)(r e3 + R E3 R L )] 4,21 ns (76) τ 6,H = C BE3 R C2 + R E3 R L 1 + I C3 V T (R E3 R L ) + R C2 + R E3 R L (β + 1)r e3 158 ps (77) Kannan ja emitterin välisten kapasitanssien näkemien resistanssien johtamisessa on edellä sijoitettu tarkasteltavan kapasitanssin tilalle testijännite v π ja selvitetty sen ja siitä vedetyn virran i π suhde v π /i π =R π. Lopuksi aikavakiot summataan yhteen ja näin saadaan kytkennän ylärajataajuudelle arvioksi f H 1 2π 1 6 n=1 τ n,h = 1 17,8 MHz. (78) 2π 8,9633 ns Vahvistimen avainominaisuuksien laskemisen jälkeen tehtävässä pyydetään kertomaan mikä on komponenttien C B1, C B2, R B1 ja R B2 merkitys kytkennässä ja miten ne tulee mitoittaa. Tulossa oleva kondensaattori C B1 poistaa tulosignaalista sen DCtason. Sen koko vaikuttaa vahvistinkytkennän alarajataajuuteen, joten se tulee mitoittaa riittävän isoksi, jotta haluttu alarajataajuus toteutuu. Vastuksen R B1 arvolla puolestaan vaikutetaan transistorin Q 1 DC-toimintapisteeseen, joten se tulee mitoittaa siten, että transistori tulee biasoitua halutulla tavalla. Lisäksi on huomioimisen arvoista, että vastus vaikuttaa myös kytkennän tuloimpedanssiin sekä ylä- ja alarajataajuuteen. Kondensaattorin C B2 virka on ohittaa R B2 tehden transistorista Q 2 laajakaistainen yhteiskantakytketty vahvistinaste käyttötaajuuksilla. Symmetrisen DCtoimintapisteen haluttaessa molemmat komponentit tulisi mitoittaa samansuuruisiksi kuin niiden vastineet transistorin Q 1 kannalla. Tehtävässä kysytään seuraavaksi mitä vaikutusta signaalilähteen lähderesistanssilla R S on vahvistimen toimintaan. Lisäksi tiedustellaan miten edellä lasketut arvot

53 muuttuvat, jos lähderesistanssiksi vaihdetaan 1 kω. Lähderesistanssin kasvaessa tulosignaali pienenee ja siten myös kytkennän jännitevahvistus pienenee. Lisäksi lähderesistanssi vaikuttaa sekä vahvistimen ala- ja ylärajataajuuksiin, sen kasvattamisen pienentäessä molempia ja pienentämisen tehdessä päinvastoin. Jos aiemmin esitetyissä kaavoissa käytetään R S :n paikalla arvoa 1 kω, saadaan jännitevahvistukseksi 47,9 eli noin 33,6 db, alarajataajuudeksi ja ylärajataajuudeksi f L 1 2π ( 1 24,5 ms + 1 ) 6,5 Hz (79) 10,05 s f H 1 2π 1 592ps + 1,24ns + 4,21ns + 57,7ps + 4,21ns + 157ps 15,2 MHz. (80) Lopuksi lasketut impedanssit, rajataajuudet ja jännitevahvistus täytyi tarkistaa AC-analyysien avulla. Tuloimpedanssia mitatessa vastus R S ohitettiin, koska se ei kuulu vahvistimeen vaan on jännitelähteen ominaisuus. Vahvistinkytkennän taajuusvaste näkyy kuvassa 51, josta voidaan lukea jännitevahvistuksen olevan noin 37,25 db, mikä vastaa edellä laskettua arvoa 37,6 db. Samaan kuvaan on myös merkitty markkereilla A1 ja A2 pisteet, joissa vaste on laskenut noin kolme desibeliä. Niiden perusteella kytkennän alarajataajuus on noin 13,3 Hz ja ylärajataajuus 18,6 MHz. Molemmat arvot ovat samaa suuruusluokkaa edellä laskettujen 10,3 Hz:n ja 17,8 MHz:n kanssa. Tulo- ja lähtöimpedanssit on esitetty taajuuden funktiona kuvissa 52 ja 53. Simulointien perusteella tuloimpedanssi on keskitaajuuksilla noin 1,45 kω ja lähtöimpedanssi on puolestaan noin 54,4 Ω. Molemmat arvot ovat todella lähellä käsin laskettuja arvoja, joiksi saatiin 1,45 kω ja 54,2 Ω.

54 Kuva 51. Vahvistimen taajuusvaste sekä rajataajuudet markkereilla merkittynä. Kuva 52. Takaisinkytkemättömän kaksiasteisen vahvistimen tuloimpedanssi.

55 Kuva 53. Takaisinkytkemättömän kaksiasteisen vahvistimen lähtöimpedanssi. 1.4.2. Takaisinkytketyn vahvistimen toiminta Edellisen kohdan vahvistimeen lisätään tehtävässä 4.2 takaisinkytkentä poistamalla kytkennästä kondensaattori C B2 ja lisäämällä vastus R FB. Saatu takaisinkytketty vahvistin näkyy kuvassa 54, missä vastukset R FB ja R B2 toimivat takaisinkytkentävastuksina.

56 Kuva 54. Takaisinkytketty kaksiasteinen vahvistin. Tehtävänannossa kytkennän analysoiminen pyydettiin aloittamaan muodostamalla ensin vahvistimelle avoimen silmukan vastinpiiri ja laskemalla sille avoimen silmukan vahvistus A v, ylärajataajuus f H ja alarajataajuus f L. Tämä tapahtuu katkaisemalla transistorin Q 2 kannan ja transistorin Q 3 emitterin välinen takaisinkytkentä ja huomioimalla takaisinkytkentäverkon aiheuttama kuormitus kummastakin suunnasta nähden lisäämällä transistorin Q 2 kannalta maihin vastus 1 R 11 = R FB R B2 = ( 18 kω + 1 1 2 kω ) = 1,8 kω (81) ja transistorin Q 3 emitteriltä vastaavasti vastus R 22 = R FB + R B2 = 18 kω + 2 kω = 20 kω. (82) Avoimen silmukan vastinpiirin analysoiminen alkaa laskemalla sen DCtoimintapiste. Transistorien Q 1 ja Q 2 kantajännitteiden oletetaan olevan noin 0,7 V niiden yhteisen emitterijännitteen yläpuolella. Koska kyseisten transistorien kantavirrat ovat I B1 = V B1 R B1 (83)

57 ja vastuksen R BIAS yli jäävän jännitteen lausekkeesta voidaan ratkaista yhteiseksi emitterijännitteeksi I B2 = V B2 R 11, (84) V E1 ( 5 V) = R BIAS (I E1 + I E2 ) (85) 0,7 V (β + 1)R BIAS ( 1 R + 1 V E1 = B1 R ) + 5 V 11 (β + 1)R BIAS ( 1 R + 1 0,72 V. (86) B1 R ) + 1 11 Emitterijännitteen avulla transistorien Q 1 ja Q 2 emitterivirroiksi saadaan nyt ja I E1 = (β + 1)(V E1 + 0,7 V) R B1 0,94 ma (87) I E2 = (β + 1)(V E1 + 0,7 V) R 11 1,05 ma. (88) Tekemällä oletus transistorin Q 3 kantavirran olemisesta hyvin pieni verrattuna transistorin Q 2 kollektorivirtaan, vastuksen R C2 läpi kulkevan virran voidaan olettaa olevan noin I C2 ja transistorin Q 2 kollektorijännitteeksi voidaan näin ollen ratkaista V C2 5 V R C2 β β + 1 I E2 0,54 V. (89) Edelleen tämän jännitteen avulla transistorin Q 3 emitterivirraksi saadaan I E3 = V C2 0,7 V R 22 + V C2 0,7 V ( 5 V) R E3 1,93 ma. (90) Piirin bipolaaritransistorien dynaamisiksi resistansseiksi saadaan nyt