c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

Koko: px
Aloita esitys sivulta:

Download "c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio"

Transkriptio

1 IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten mukaan : : b) minimoituna summien tulona (,5 p) = (+)(+) nollien mukaan c) :n komplementti minimoituna tulojen summana (,5 p) = + ykkösillä (:n K-kartasta, emorganin teoreemalla, komplementin muistisäännöllä) d) :n komplementti minimoituna summien tulona (,5 p) = (+)(+) nollilla e) :n minimoitu logiikkakaavio -tuloisilla NN-porteilla (,5 p) ja f) :n minimoitu logiikkakaavio -tuloisilla NO-porteilla (,5 p). Käytössäsi on muuttujat,,,,, eli et tarvitse erikseen inverttereitä. e) NN-porteilla f) NO-porteilla emorgan emorgan alkuperäisestä kohdasta b) yhtälöstä. Esitä oheisen logiikkakaavion toiminta a) totuustauluna. (piirrä totuustaulu kuvan viereen) (,5 p) ja b) K-karttana (,5 p) c) minimoituna tulojen summana (,5 p) = +++ d) minimoituna summien tulona (,5 p) = (++)(++)(++)(++) e) logiikkakaaviona, kun käytössäsi on yhteensä kpl -tuloisia logiikkaportteja (N, O, NN, NO, EO, ENO) (piirrä logiikkakaavio kuvan viereen) ( p). MU Totuustaulu K-kartta G MU G valitsee jomman kumman : muxin lähdön :ksi MU G ylempi :-mux alempi :-mux = ( ) + ( ) = + ( ) Logiikkakaavio kahdella portilla EO, ENO Käsitellään kohdan c) yhtälöä: = ( + ) + ( + ) = + = ( ) tai ( ) ja voivat vaihtaa paikkaakin samoin kuin EO ja ENO portitkin! = = IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO. Oheinen taulukko esittää -bittiset kahden komplementtiluvut ( ) ja niitä vastaavat desimaaliluvut. Suunnittele kombinaatiologiikka, joka ottaa -bittisestä kahden komplementtiluvusta itseisarvon ja esittää sen kahdella bitillä ( ) etumerkittömänä positiivisena binäärilukuna. Mahdollisen ylivuotobitin voit unohtaa. a) täydennä taulukkoon :n ja :n arvot (,5 p) b) laadi :n ja :n K-kartat (,5 p) c) minimoi :n ja :n loogiset funktiot tulojen summaksi (,5 p) d) muokkaa yhtälöitä siten, että voit toteuttaa ne yhdellä N- ja yhdellä EO-portilla ( p) e) täydennä oheinen logiikkakaavio d)-kohdan mukaiseksi (nimeä portit ja piirrä johdotus) (,5 p). a) b) K-kartat des. : : - c) loogiset funktiot tulojen summana = + + = d) N- ja EO-porteille sopivat yhtälöt = ( + ) + = + = = e) logiikkakaavio =

2 IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO. nalysoi oheinen synkroninen (kaikille kiikuille yhteinen kellosignaali) tilakone. Esitä a) kiikkujen datatulojen (, ) loogiset funktiot b) lähtöjen (select, select, select, select) loogiset funktiot c) kiikkujen datatulojen (, ) loogisten funktioiden K-kartat d) tilansiirtotaulukko ilman lähtöjä select - select e) tilakaavio ilman lähtöjä select - select. f) Täydennä oheinen ajoituskaavio. LK a) = _ + = b) select = select = select = select = c) K-kartat d) tilansiirtotaulukko e) tilakaavio : + : f) ajoituskaavio LK (..) select select select select.j.ti.j.ti IN/LIN G select select select select IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. Give your answers in these question papers! ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio KT Σ. Mitkä seuraavista loogisten funktioiden esitystavoista edustavat samaa loogista funktiota? Which of the following represent the same logical function? = = + a) = b) = = = + c) d) = = e) =. Esitä oheisen multiplekserikytkennän toteuttama looginen toiminta? Ilmoita Present the logical operation of the following multiplexer logic diagram? Present a) Karnaugh n karttana / as a Karnaugh map. b) minimoituna summien tulona / as minimised product of sums. = ( + )( + ) c) minimoituna tulojen summana / as minimised sum of products. = += d) Täydennä oheiseen ajoituskaavioon lähdön käyttäytyminen. ill in the behaviour of the output to the following timing diagram. ) ) ) ) 5) = + = + = = = + f) 6) = + Vastaus/nswer: esim. / for example g=; a = 6 ; b = 5 ; c = ; d = ; e = ; f = MU G = + = =

3 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:... nalysoi oheinen kombinaatiologiikka ja ilmoita vastaus a) Karnaugh n karttana, b) minimoituna tulojen summana, c) minimoituna summien tulona ja d) vain yhdellä logiikkaportilla. nalyse the following combinational logic and give your answer as a) Karnaugh map, b) minimised sum of products, c) minimised product of sums and d) with only one logic gate. 6.J 5.ΤΑΙ.J a) Karnaugh n kartta / Karnaugh map b) minimoituna tulojen summana / as minimised sum of products, ykkösten mukaan = = + c) minimoituna summien tulona / as minimised product of sums nollien mukaan = = (+)(+) d) vain yhdellä logiikkaportilla / with only one logic gate = =, ENO ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio.ται.j = luetaan yhtälö auki käyttäen apuna J- ja TI-tasoja.ΤΑΙ, tasot näkyviin =. a) Suunnittele synkroninen (kaikille kiikuille yhteinen kellosignaali LK) tilakone, joka toteuttaa TIV-laskuri/jakaja-toiminnon eli jakaa kiikkujen kellotuloihin kytketyn kellosignaalin neljällä, jolloin laskuri käy läpi tilat,,,,,,,,... Käytössäsi on kahden -kiikun lisäksi vain yksi - tuloinen logiikkaportti, joka voi olla N, O, NN, NO, NOT, O, NO tai MU. - kiikussa on sekä suora että invertoitu lähtö. Esitä a) tilakaavio, b) tilansiirtotaulukko, c) kiikujen datatulojen Karnaugh n kartat, d) kiikkujen datatulojen minimoidut yhtälöt, e) logiikkakaavio ja f) täydennä oheiseen ajoituskaavioon -kiikkujen lähtöjen käyttäytyminen. Oletetaan että laskuri resetoidaan aluksi, jolloin ensimmäinen tila on. eset-signaalia ei tarvitse piirtää. esign a synchronous state machine (all flip-flops receive a common clock signal LK) that realises a TIV counter/divider operation i.e. devides the input clock frequency by four, in which case the counter goes through states,,,,,,,,... You can use two -flip-flops and only one additional logic gate which can be N, O, NN, NO, NOT, O, NO or MU. The -flip-flop has both non-inverted and inverted outputs. Present a) state diagram, b) state transfer table, c) Karnaug maps of the flip-flop data inputs, d) minimised logic functions of the flip-flop data inputs, e) logic diagram and f) fill in to the following timing diagram the behaviour of the flip-flop outputs. Let s assume that the counter is resetted in the beginning so that the first state is. You don t have to draw the reset signal. a) tilakaavio / state diagram b) tilansiirtotaulukko / state transfer table NT ST c) K-kartat / K-maps d) minimoidut datatulojen yhtälöt minimised logic functions of the data inputs = + =, EO = e) logiikkakaavio / logic diagram f) ajoituskaavio / timing diagram LK LK = ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

4 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. Give your answers in these question papers! KT Σ. = + + ja = (++)(++)(++)(++). Esitä minimoituna tulojen summana Piirretään K-kartat kaikissa tilanteissa a) + = + IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination:.. Y. Mitä -tuloisia logiikkaportteja oheiset logiikkakaaviot vastaavat toiminnaltaan :n ja Y:n kannalta eli millä yksittäisillä logiikkaporteilla voit korvata oheiset logiikkakaaviot, kun tuloina ovat ja Y? a) +Y = Y Y Y Y Y = +Y Y Y + Y +Y = Y Y Y Y + Y b) = c) + = ++ d) = e) + = (jompi kumpi kahdesta viimeisestä termistä) = _ NO _-portti = _ EO _-portti = _ ENO _-portti. Suunnittele yhtä -kiikkua, jossa on sekä suora että invertoitu lähtö, ja yhteensä kolmea korkeintaan -tuloista logiikkaporttia (N, O, NN, NO, NOT, EO, ENO) käyttäen yhden bitin muistisolu, joka vastaa loogiselta toiminnaltaan JK-kiikkua. JK-kiikussa on kaksi herätetuloa J ja K, toisin kuin -kiikussa, jossa on yksi herätetulo (kts. oheinen kuva). JK-kiikkuhan toimii siten, että tulon J ollessa ykkönen ja samalla tulon K ollessa nolla lähdöksi eli seuraavaksi tilaksi tulee kellon seuraavan nousevan reunan jälkeen ykkönen. Tulon K ollessa ykkönen ja samalla tulon J ollessa nolla seuraavaksi tilaksi tulee nolla kellon seuraavan nousevan reunan jälkeen. Mikäli sekä J että K ovat nollia, JK-kiikun tila säilyy myös kellon seuraavan reunan jälkeen ja sekä J:n että K:n ollessa ykkösiä JK-kiikku vaihtaa tilaansa kellon seuraavan nousevan reunan jälkeen. Esitä muistisolun logiikkakaavio (käytössäsi on siis yksi -kiikku ja yhteensä kolme korkeintaan - tuloista logiikkaporttia). Esitä myös tarpeellisiksi katsomasi välivaiheet, f) = + J K. Minimoi oheiset Karnaugh n kartat. Ilmoita tulojen summana, Y summien tulona ja Z tulojen summana. : Y: d d Z: d = _ + + _ Y = _ (+)(+)(+) _ Z = _ + _ tulojen summana summien tulona tulojen summana tilansiirtotaulukko JK J K Tilansiirtotaulukko muistuttaa tässä K-kartaa ja soveltuu minimointiin... = J + K = J + K+ J K tässä K:ta ei tarvitse invertoida ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

5 IGITLITEKNIIKK I 5 Tentti: 9.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - Σ. Mitkä seuraavista loogisten funktioiden esitystavoista vastaavat toisiaan loogiselta toiminnaltaan? Perustele! a = b = (++)(++)(++)(++) c = d = e = M(,,,,5,) = f 5 6 MU G Perustelut tähän! Muokataan esitysmuotoja ja laaditaan K-kartat... a b d = d d = + + g c = c = (+)(+) e IN/LIN G Vastaus: (esim. m = n...) a = c, b = h, d = g, e = f 5 6 c f = + = = + = (+) + (+) = + tästäkin voi jo päätellä f g h h IGITLITEKNIIKK I 5 Tentti: 9.. ntti Mäntyniemi ELEKTONIIKN LOTOIO. a) Toteuta alla olevan kuvan NN-logiikan looginen funktio minimimäärällä -tuloisia NOportteja. Esitä vastauksessasi myös b) minimoituna tulojen summana c) minimoituna summien tulona ja d) Karnaugh n karttana. Muuttujista on tarjolla suorat ja komplementoidut versiot. Piirretään tasot kohdalleen... a) minimimäärällä -tuloisia NO-portteja Sovelletaan summien tuloon emorganin teoreemaa... ja muunnetaan ++ muotoon, jossa NO-operaatioilla on operandia... = + ++ d) Karnaugh n karttana b) minimoituna tulojen summana = + + c) minimoituna summien tulona = (++) ja tunnistetaan ne....j.ti.j.ti Luetaan yhtälö logiikkakaaviosta... = (+) + = + + Laaditaan sitten K-kartta... ja minimoidaan. NO-porttitoteutus laaditaan minimoidusta summien tulosta. On tähän ainakin yksi muukin vaihtoehto...

6 IGITLITEKNIIKK I 5 Tentti: 9.. ntti Mäntyniemi ELEKTONIIKN LOTOIO. Oheiset kolme Karnaugh n karttaa esittävät erään -kiikuilla toteutetun tilakoneen -kiikkujen datatulojen, ja toiminnan nykytilan funktiona. Esitä tilakoneen toiminta a) tilakaaviolla ja b) tilansiirtotaulukkolla. Esitä myös c) kiikkujen datatulojen, ja minimoidut loogiset funktiot ja d) logiikkakaavio -kiikuilla ja N- ja O-porteilla. Saat käyttää yhteensä korkeintaan seitsemän N- ja O-porttia. e) Täydennä myös oheinen ajoituskaavio, kun alkutila on kuvan e) mukaisesti.-kiikuissa on suorat ja komplementoidut lähdöt! e) KELLO KELLO a) Tilakaavio ja tilansiirtotaulukko K-karttojen perusteella... c) Nykytila Seur. tila = + b) = + = d) esim. näin ei ole ainoa vaihtoehto... IGITLITEKNIIKK I 5 Tentti:..5. Tarkastellaan oheista totuustaulua. Esitä: Toteuta: 5 ntti Mäntyniemi ELEKTONIIKN LOTOIO a) minimoituna tulojen summana b) minimoituna summien tulona Henkilötunnus - Σ c) minimimäärällä - ja -tuloisia tuloisia NN-portteja d) minimimäärällä - ja -tuloisia NO-portteja e) yhdellä -tuloisella EO-portilla ja yhdellä -tuloisella N-portilla f) yhdellä -tuloisella multiplekserillä ( valintatuloa, datatuloa, lähtö) g) yhdellä -to-8 IN/LIN dekooderilla ja yhdellä muulla logiikkaportilla. Muuttujista, ja on tarjolla myös invertoidut versiot, ja. Ja vielä: h) mikä yhteys loogisella funktiolla on totuustaulun loogiseen funktioon? Vastaukset tähän tarvittavine välivaiheineen... Minimoidaan K-kartalla: ykkösten mukaan nollien mukaan a) = + + _ b) = (+)(+)(++) c) emorganilla a)-kohdasta d) emorganilla b)-kohdasta = = IN/LIN e) = (+) + f) g) G = + = eli tilanne, jossa sekä että ovat ykkösiä, on poissuljettu eli nolla MU G kombinaatioilla,, seuraa :n tilaa, = -> =. 5 = 6 = -kombinaatioilla,,,, joten poimitaan Muistuttaa e)-kohtaa...muokataan, K-kartta nämä O-portilla h) = = + = + (+) = + + = eli :n komplementti! eli tilanne, jossa sekä että ovat ykkösiä, on poissuljettu eli nolla

7 IGITLITEKNIIKK I 5 Tentti:..5 ELEKTONIIKN LOTOIO IGITLITEKNIIKK I 5 Tentti:..5 ELEKTONIIKN LOTOIO. Oheisessa kuvassa on esitetty -segmenttinäytöllä esitettävät numerosymbolit...9 ja segmenttejä vastaavat kirjaimet (a...g). Kukin segmentti on näkyvissä, kun vastaava ohjaava signaali (a...g) on looginen ykkönen. -koodi (inary oded ecimal = binääriluvuksi koodattu desimaaliluku) sisältää vain kymmenlukujärjestelmän numerosymbolit...9 koodattuna neljällä bitillä, missä on eniten merkitsevä bitti, ja on vähiten merkitsevä bitti. Ne :n, :n, :n ja :n binäärikombinaatiot, joita vastaava desimaaliluku ei ole välillä...9, eivät kuulu -koodiin. a) Minkä -segmenttinäytön segmentin koodausta oheiset minimoidut loogiset yhtälöt ja vastaavat /-segmentti-dekoodauksessa? (,,,) = (,,,) = (+)(+)(+)(++) b) Esitä yhtälöitä ja vastaavat Karnaugh n kartat. c) Miten :n ja :n koodaukset poikkeavat toisistaan eli mitä oletuksia on tehty -koodiin kuulumattomien :n, :n, :n ja :n kombinaatioiden koodaamisesta? d) Esitä yhden muun kuin a)-kohdan segmentin koodaus mahdollisimman yksinkertaisena tulojen summana (ottaen huomioon c)-kohdan oletukset). a a a a a a a a f b b g b g b f g b f g f g b f g b f g b e c c e c c c e c c e c c d d d d d d d Vastaukset tähän: a) _ :n ja :n K-kartat näyttäisivät vastaavan f-segmentin koodausta b) aloitetaan tästä... Voisi laatia myös totuustaulun a b c d e f g c) : -koodiin kuulumattomat don t care -kombinaatiot tulkittu ykkösiksi -> minimitoteutus : -koodiin kuulumattomat tulkittu nolliksi -> segmentti pimeänä ei--koodilla d d d d) esim. c- ja e-segmentit c e d d d hyödyntäen don t care d d -kombinaatiot ykkösinä d d d d c = + + e = + 5 ntti Mäntyniemi. Muuta oheisen kuvan esittämän synkronisen tilakoneen toiminta sellaiseksi, että häiriötilanteessa tilakone ei voi ohjaussignaalista riippumatta jäädä jumiin yhteen tilaan, vaan siirtyy tästä tilasta synkronisesti tilaan = kellon seuraavalla nousevalla reunalla sekvenssin säilyessä muuten samana. Esitä sekä alkuperäisen että muutetun tilakoneen, siis sen joka ei jää jumiin, a) tilakaaviot, b) tilansiirtotaulukkot ja c) kiikkujen datatulojen ja K-kartat ja d) kiikkujen datatulojen ja minimoidut loogiset yhtälöt tulojen summina. Edetään nuolten osoittamassa järjestyksessä... Vastaukset tähän: a) tilakaaviot ( kpl) alkuperäinen muutettu KELLO J TI emorgan... Y = +Y, NN -> O Lisätään kuvaan toisensa kumoavat invertterit, jolloin lähtöjen NN-porteista tulee O-portteja ja tulojen NN-porteista b) tilansiirtotaulukot ( kpl) N-portteja alkuperäinen muutettu alkuperäinen c) K-kartat ( kpl) muutettu d) minimoidut loogiset yhtälöt tulojen summina ( kpl) alkuperäinen luetaan logiikkakaavio yhtälöksi = + = ntti Mäntyniemi = + + tai + + = + minimoidaan K-kartasta muutettu

8 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 5..5 Give your answers in these question papers! 5 ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme Σ. (,,) = + ja (,,) = (++)(++)(++)(++). Esitä a) - d) minimoituna tulojen summana: Käytetään apuna K-karttoja... + a) + = + + b) = + c) + = + + d) = Esitä e) - h) minimoituna summien tulona: + e) + = (++)(+) f) = (+)(+)(+) tai (+)(+)(+) + g) + = (++)(+) h) = (+)(+)(+) tai (+)(+)(+) IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme. Oheisen kuvan mukaiselle kombinaatiologiikalle löytyy käyttöä binääriaritmetiikassa. nalysoi oheisen logiikan toiminta ja ilmoita vastauksenasi: a) :n minimoitu looginen funktio: = +++= b) Y:n minimoitu looginen funktio: Y = + + c) :n ja Y:n Karnaugh n kartat d) logiikan toimintaa kuvaava totuustaulu e) minkä binääriaritmetiikan operaation logiikka suorittaa? kokosummauksen f) Vihje: atkaise ensin solmupiste Z = (+) + (+) = +=. TI J TI :n kannalta c) K-kartat d) totuustaulu TI J Välivaiheita voi kirjoitella tähän... Z TI Z:n kannalta = (Z+)Z + (Z+) = Z + Z = Z = = ( + ) + + = + + = + + (+)(+) = = Y = Z = + Z = + ( + ) = + + Y -> ++= -> ++= -> ++= -> ++= -> ++= -> ++= -> ++= -> ++= Y Y

9 IGITLITEKNIIKK I 5 IGITL TEHNIUES I Tentti/Examination: 5..5 Opiskelija /Student Henkilötunnus / Social security number - Opiskelijanumero / Student id number Koulutusohjelma / Study programme. Lineaarisesti takaisinkytketyillä siirtorekistereillä (Linear eedback Shift egister = LS) voidaan tuottaa valesatunnaisia (Pseudo andom) sekvenssejä, joita tarvitaan esim. hajaspektritietoliikenteessä ja virheenkorjauksessa. Oheinen ajoituskaavio esittää erään synkronisen (kaikilla kiikuilla yhteinen kellosignaali LK) LS-tilakoneen tuottamat aaltomuodot. a) monenko kellojakson välein sekvenssi toistuu?, huomataan kun merkitään tilat näkyviin b) esitä aaltomuotoa vastaava tilakaavio (katso myös kohdan d) tarkennus) c) mitkä tilat eivät kuulu sekvenssiin? tila d) esitä myös tilansiirtotaulukko, sekvenssiin kuulumattomien tilojen seuraava tila saa olla don t care e) esitä kiikkujen datatulojen,, Karnaugh n kartat f) esitä kiikkujen datatulojen minimoidut logiikkafunktiot tulojen summina (tilakone saa tällä kertaa jäädä jumiin sekvenssiin kuulumattomaan tilaan!) g) esitä tilakoneen logiikkakaavio käyttäen -kiikkuja ja korkeintaan kahta muuta -tuloista logiikkaporttia. -kiikuissa ei ole invertoituja lähtöjä! Kiikkujen resetiä ei tarvitse huomioida! LK b) tilakaavio d) tilansiirtotaulukko e) datatulojen K-kartat 6 5 d d d d d = don t care d d (don t care tulkittiin K-kartassa nollaksi -> tilakone jää jumiin nollaan, jos siihen tilaan joutuu!) f) minimoidut yhtälöt g) logiikkakaavio = = + = = LK = 5 ntti Mäntyniemi, Oulun yliopisto, Elektroniikan laboratorio

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Efficiency change over time

Efficiency change over time Efficiency change over time Heikki Tikanmäki Optimointiopin seminaari 14.11.2007 Contents Introduction (11.1) Window analysis (11.2) Example, application, analysis Malmquist index (11.3) Dealing with panel

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3 . a) Kriittisen polun mukaan (DFF - DFF): (DFF = D Flip-Flop = D-kiikku) T clk > t DFF t critical t setup -> T clk > ns () ns ns > 9 ns -> F clk

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2016-2017 2. Lukujen esittäminen ja aritmetiikka 2.1 Kantajärjestelmät ja lukujen esittäminen Käytettävät lukujoukot: Luonnolliset luvut IN = {0,1,2,3,... } Positiiviset kokonaisluvut

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Telecommunication Software

Telecommunication Software Telecommunication Software Final exam 21.11.2006 COMPUTER ENGINEERING LABORATORY 521265A Vastaukset englanniksi tai suomeksi. / Answers in English or in Finnish. 1. (a) Määrittele sovellusviesti, PersonnelRecord,

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Ohjelmoijan binaarialgebra ja heksaluvut

Ohjelmoijan binaarialgebra ja heksaluvut Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoinaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNKKA JA LKTONKKA. välikoe 3.0.2006. Saat vastata vain neljään tehtävään!. Laske jännite U. = =4Ω, 3 =2Ω, = =2V, J =2A, J 2 =3A + J 2 + J 3 2. Kondensaattori on aluksi varautunut jännitteeseen

Lisätiedot

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR.

Luento 3: Digitaalilogiikka 29.8.2006. Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR. Luento 3 Digital logic Stallings: Appendix B Combinational Circuits Simplification Sequential Circuits Luento 3-1 Luento 3-2 George Boole u ideas 1854 Claude Shannon u apply to circuit design, 1938 u father

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Luento 3-1 Tietokoneen rakenne Boolean Algebra Luento 3-2 Tietokoneen

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoimaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne Tietokoneen rakenne Luento 3 Digital logic Stallings: Appendix B Boolean Algebra Combinational Circuits Simplification Sequential Circuits Lecture 3-1 Tietokoneen rakenne Boolean Algebra Lecture 3-2 Tietokoneen

Lisätiedot

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Kilpailijan nimi: 1) Oheisen kytkennän kokonaisresistanssi on n. 33 Ohm 150 Ohm a) 70 Ohmia b) 100 Ohmia c) 120 Ohmia 120 Ohm 2) Oheisen kytkennän

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

(0 1) 010(0 1) Koska kieli on yksinkertainen, muodostetaan sen tunnistava epädeterministinen q 0 q 1 q 2 q3

(0 1) 010(0 1) Koska kieli on yksinkertainen, muodostetaan sen tunnistava epädeterministinen q 0 q 1 q 2 q3 T-79.48 Tietojenkäsittelyteorian perusteet Tentti 25..23 mallivastaukset. Tehtävä: Kuvaa seuraavat kielet sekä säännölisten lausekkeiden että determinististen äärellisten automaattien avulla: (a) L = {w

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Kappale 20: Kantaluvut

Kappale 20: Kantaluvut Kappale 20: Kantaluvut 20 Johdanto: Kantaluvut... 328 Kantalukujen syöttäminen ja muuntaminen... 329 Matemaattiset toiminnot Hex- ja Bin-luvuilla... 330 Bittien vertaileminen ja manipulointi... 331 Huom!

Lisätiedot

812336A C++ -kielen perusteet, 21.8.2010

812336A C++ -kielen perusteet, 21.8.2010 812336A C++ -kielen perusteet, 21.8.2010 1. Vastaa lyhyesti seuraaviin kysymyksiin (1p kaikista): a) Mitä tarkoittaa funktion ylikuormittaminen (overloading)? b) Mitä tarkoittaa jäsenfunktion ylimääritys

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 Luento 6: Tiedon esittäminen tietokoneessa, osa 1 Tekijät: Antti Virtanen, Timo Lehtonen, Matti Kujala, Kirsti Ala-Mutka, Petri M. Gerdt et al. Luennon

Lisätiedot

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi.

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi. Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) A + 1 = 1 A + B C = (A + B) (A + C) F(A, B, C) = Σ m (2, 3, 5, 7) Maksimitermi A = A m0 A 0 = 0 M7 A + B = A B Minimitermi Digitaalitekniikan matematiikka

Lisätiedot

Alternative DEA Models

Alternative DEA Models Mat-2.4142 Alternative DEA Models 19.9.2007 Table of Contents Banker-Charnes-Cooper Model Additive Model Example Data Home assignment BCC Model (Banker-Charnes-Cooper) production frontiers spanned by convex

Lisätiedot

SISÄLLYS - DIGITAALITEKNIIKKA

SISÄLLYS - DIGITAALITEKNIIKKA SISÄLLYS - DIGITAALITEKNIIKKA Digitaalitekniikan perusteita...2 Bitti (bit)...2 Tavu (bytes)...2 Sana (word)...2 Yksiköt...2 Binääri järjestelmän laskutapa...2 Esimerkki: Digikuvan siirron kestoaika...2

Lisätiedot

Operatioanalyysi 2011, Harjoitus 4, viikko 40

Operatioanalyysi 2011, Harjoitus 4, viikko 40 Operatioanalyysi 2011, Harjoitus 4, viikko 40 H4t1, Exercise 4.2. H4t2, Exercise 4.3. H4t3, Exercise 4.4. H4t4, Exercise 4.5. H4t5, Exercise 4.6. (Exercise 4.2.) 1 4.2. Solve the LP max z = x 1 + 2x 2

Lisätiedot

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 811122P (5 op.) 12.12.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

The CCR Model and Production Correspondence

The CCR Model and Production Correspondence The CCR Model and Production Correspondence Tim Schöneberg The 19th of September Agenda Introduction Definitions Production Possiblity Set CCR Model and the Dual Problem Input excesses and output shortfalls

Lisätiedot

815338A Ohjelmointikielten periaatteet Harjoitus 2 vastaukset

815338A Ohjelmointikielten periaatteet Harjoitus 2 vastaukset 815338A Ohjelmointikielten periaatteet 2015-2016. Harjoitus 2 vastaukset Harjoituksen aiheena on BNF-merkinnän käyttö ja yhteys rekursiivisesti etenevään jäsentäjään. Tehtävä 1. Mitkä ilmaukset seuraava

Lisätiedot

FinFamily PostgreSQL installation ( ) FinFamily PostgreSQL

FinFamily PostgreSQL installation ( ) FinFamily PostgreSQL FinFamily PostgreSQL 1 Sisällys / Contents FinFamily PostgreSQL... 1 1. Asenna PostgreSQL tietokanta / Install PostgreSQL database... 3 1.1. PostgreSQL tietokannasta / About the PostgreSQL database...

Lisätiedot

Other approaches to restrict multipliers

Other approaches to restrict multipliers Other approaches to restrict multipliers Heikki Tikanmäki Optimointiopin seminaari 10.10.2007 Contents Short revision (6.2) Another Assurance Region Model (6.3) Cone-Ratio Method (6.4) An Application of

Lisätiedot

Capacity utilization

Capacity utilization Mat-2.4142 Seminar on optimization Capacity utilization 12.12.2007 Contents Summary of chapter 14 Related DEA-solver models Illustrative examples Measure of technical capacity utilization Price-based measure

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.1100 SÄHKÖTKNIIKKA JA LKTONIIKKA Tentti 15.5.2006: tehtävät 1,3,5,7,10 1. välikoe: tehtävät 1,2,3,4,5 2. välikoe: tehtävät 6,7,8,9,10 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita!

Lisätiedot

Returns to Scale II. S ysteemianalyysin. Laboratorio. Esitelmä 8 Timo Salminen. Teknillinen korkeakoulu

Returns to Scale II. S ysteemianalyysin. Laboratorio. Esitelmä 8 Timo Salminen. Teknillinen korkeakoulu Returns to Scale II Contents Most Productive Scale Size Further Considerations Relaxation of the Convexity Condition Useful Reminder Theorem 5.5 A DMU found to be efficient with a CCR model will also be

Lisätiedot

16. Allocation Models

16. Allocation Models 16. Allocation Models Juha Saloheimo 17.1.27 S steemianalsin Optimointiopin seminaari - Sks 27 Content Introduction Overall Efficienc with common prices and costs Cost Efficienc S steemianalsin Revenue

Lisätiedot

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen.9.2 Fe Lukujärjestelmät Kymmen- eli desimaalijärjestelmä: kantaluku perinteisesti käytetty ja tuttu numerot,,

Lisätiedot

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä OULUN YLIOPISTO Tietojenkäsittelytieteiden laitos Johdatus ohjelmointiin 81122P (4 ov.) 30.5.2005 Ohjelmointikieli on Java. Tentissä saa olla materiaali mukana. Tenttitulokset julkaistaan aikaisintaan

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

I. AES Rijndael. Rijndael - Internal Structure

I. AES Rijndael. Rijndael - Internal Structure I. AES Rndael NOKIA T-79.53 Additional material Oct 3/KN Rndael - Internal Structure Rndael is an iterated block cipher with variable length block and variable key size. The number of rounds is defined

Lisätiedot

Teknillinen tiedekunta, matematiikan jaos Numeeriset menetelmät

Teknillinen tiedekunta, matematiikan jaos Numeeriset menetelmät Numeeriset menetelmät 1. välikoe, 14.2.2009 1. Määrää matriisin 1 1 a 1 3 a a 4 a a 2 1 LU-hajotelma kaikille a R. Ratkaise LU-hajotelmaa käyttäen yhtälöryhmä Ax = b, missä b = [ 1 3 2a 2 a + 3] T. 2.

Lisätiedot

Capacity Utilization

Capacity Utilization Capacity Utilization Tim Schöneberg 28th November Agenda Introduction Fixed and variable input ressources Technical capacity utilization Price based capacity utilization measure Long run and short run

Lisätiedot

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe

SGN-1200 Signaalinkäsittelyn menetelmät Välikoe SGN-00 Signaalinkäsittelyn menetelmät Välikoe 9.3.009 Sivuilla - on. Älä vastaa siihen, jos et ollut ensimmäisessä välikokeessa. Tentin kysymykset ovat sivuilla 3-4. Vastaa vain jompaan kumpaan kokeeseen,

Lisätiedot

Tietokonearitmetiikka

Tietokonearitmetiikka Luento 6 ALU: Aritmeettis-Looginen Yksikkö Tietokonearitmetiikka Stallings: Ch 9 Kokonaislukuesitys Kokonaislukuaritmetiikka Liukulukuesitys Liukulukuaritmetiikka Luento 6-1 ALU = Aritmetic Logic Unit

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2017-2018 Yhteenveto Yleistä kurssista Kurssin laajuus 5 op Luentoja 30h Harjoituksia 21h Itsenäistä työskentelyä n. 80h 811120P Diskreetit rakenteet, Yhteenveto 2 Kurssin

Lisätiedot

Tietokonearitmetiikka

Tietokonearitmetiikka Tietokoneen rakenne Luento 6 Tietokonearitmetiikka Stallings: Ch 9 Kokonaislukuesitys Kokonaislukuaritmetiikka Liukulukuesitys Liukulukuaritmetiikka Luento 6-1 ALU: Aritmeettis-Looginen Yksikkö ALU = Aritmetic

Lisätiedot

Ohjelmoinnin perusteet Y Python

Ohjelmoinnin perusteet Y Python Ohjelmoinnin perusteet Y Python T-106.1208 25.1.2010 T-106.1208 Ohjelmoinnin perusteet Y 25.1.2010 1 / 41 Valintakäsky if Tähänastiset ohjelmat ovat toimineen aina samalla tavalla. Usein ohjelman pitäisi

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA Tentti 6.5.007: tehtävät,3,4,6,0. välikoe: tehtävät,,3,4,5. välikoe: tehtävät 6,7,8,9,0 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita! Kimmo

Lisätiedot

Operatioanalyysi 2011, Harjoitus 3, viikko 39

Operatioanalyysi 2011, Harjoitus 3, viikko 39 Operatioanalyysi 2011, Harjoitus 3, viikko 39 H3t1, Exercise 3.1. H3t2, Exercise 3.2. H3t3, Exercise 3.3. H3t4, Exercise 3.4. H3t5 (Exercise 3.1.) 1 3.1. Find the (a) standard form, (b) slack form of the

Lisätiedot

Bounds on non-surjective cellular automata

Bounds on non-surjective cellular automata Bounds on non-surjective cellular automata Jarkko Kari Pascal Vanier Thomas Zeume University of Turku LIF Marseille Universität Hannover 27 august 2009 J. Kari, P. Vanier, T. Zeume (UTU) Bounds on non-surjective

Lisätiedot

Ohjelmointi 1 C#, kevät 2013, 2. tentti

Ohjelmointi 1 C#, kevät 2013, 2. tentti ITKP102 Ohjelmointi 1 C# 15.5.2013 1 / 6 Ohjelmointi 1 C#, kevät 2013, 2. tentti Tentaattori Antti-Jussi Lakanen Tässä tentissä saa olla mukana omia muistiinpanoja yhden arkin verran. Tentin valvojalla

Lisätiedot

Esimerkki 1: Kahviautomaatti.

Esimerkki 1: Kahviautomaatti. Esimerkki 1: Kahviautomaatti. ÄÄRELLISET AUTOAATIT JA SÄÄNNÖLLISET KIELET 2.1 Tilakaaviot ja tilataulut Tarkastellaan aluksi tietojenkäsittelyjärjestelmiä, joilla on vain äärellisen monta mahdollista tilaa.

Lisätiedot

Kysymys 5 Compared to the workload, the number of credits awarded was (1 credits equals 27 working hours): (4)

Kysymys 5 Compared to the workload, the number of credits awarded was (1 credits equals 27 working hours): (4) Tilasto T1106120-s2012palaute Kyselyn T1106120+T1106120-s2012palaute yhteenveto: vastauksia (4) Kysymys 1 Degree programme: (4) TIK: TIK 1 25% ************** INF: INF 0 0% EST: EST 0 0% TLT: TLT 0 0% BIO:

Lisätiedot

make and make and make ThinkMath 2017

make and make and make ThinkMath 2017 Adding quantities Lukumäärienup yhdistäminen. Laske yhteensä?. Countkuinka howmonta manypalloja ballson there are altogether. and ja make and make and ja make on and ja make ThinkMath 7 on ja on on Vaihdannaisuus

Lisätiedot

-Matematiikka on aksiomaattinen järjestelmä. -uusi tieto voidaan perustella edellisten tietojen avulla, tätä kutsutaan todistamiseksi

-Matematiikka on aksiomaattinen järjestelmä. -uusi tieto voidaan perustella edellisten tietojen avulla, tätä kutsutaan todistamiseksi -Matematiikka on aksiomaattinen järjestelmä -uusi tieto voidaan perustella edellisten tietojen avulla, tätä kutsutaan todistamiseksi -mustavalkoinen: asia joko on tai ei (vrt. humanistiset tieteet, ei

Lisätiedot

Signaalien generointi

Signaalien generointi Signaalinkäsittelyssä joudutaan usein generoimaan erilaisia signaaleja keinotekoisesti. Tyypillisimpiä generoitavia aaltomuotoja ovat eritaajuiset sinimuotoiset signaalit (modulointi) sekä normaalijakautunut

Lisätiedot

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty

SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma. M-koodit Omron servojen ohjauksessa. Luovutettu. Hyväksytty SATAKUNNAN AMMATTIKORKEAKOULU Sähkötekniikan koulutusohjelma M-koodit Omron servojen ohjauksessa Tekijän nimi Ryhmätunnus Syventävä työ Jouni Lamminen EE01POS 4. vuosikurssin syventävä Luovutettu Hyväksytty

Lisätiedot

Palautteita. Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi

Palautteita. Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi Palautteita Tutoriaalit olivat vaikeat! Totta, tentti on onneksi helpompi 504 Mitä range() tekee? range on funktio, joka palauttaa listan esim. a = range(5,10) Palauttaa listan [5,6,7,8,9] Siis nämä kolme

Lisätiedot

Harjoitus 6: Simulink - Säätöteoria. Syksy 2006. Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1

Harjoitus 6: Simulink - Säätöteoria. Syksy 2006. Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoitus 6: Simulink - Säätöteoria Mat-2.2107 Sovelletun matematiikan tietokonetyöt Syksy 2006 Mat-2.2107 Sovelletun matematiikan tietokonetyöt 1 Harjoituksen aiheita Tutustuminen säätötekniikkaan Takaisinkytkennän

Lisätiedot

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A.

Se mistä tilasta aloitetaan, merkitään tyhjästä tulevalla nuolella. Yllä olevassa esimerkissä aloitustila on A. Tehtävä. Tämä tehtävä on aineistotehtävä, jossa esitetään ensin tehtävän teoria. Sen jälkeen esitetään neljä kysymystä, joissa tätä teoriaa pitää soveltaa. Mitään aikaisempaa tehtävän aihepiirin tuntemusta

Lisätiedot

ANSI/IEEE Std

ANSI/IEEE Std Digitaalitekniikan matematiikka Luku 9 Sivu 1 (26) Lukujärjestelmät ja lukujen esittäminen ANSI/IEEE Std 754-2008 0 1 0 1 1 0 0 0 B = Σ B i 2 i Digitaalitekniikan matematiikka Luku 9 Sivu 2 (26) Johdanto

Lisätiedot

Huom. tämä kulma on yhtä suuri kuin ohjauskulman muutos. lasketaan ajoneuvon keskipisteen ympyräkaaren jänteen pituus

Huom. tämä kulma on yhtä suuri kuin ohjauskulman muutos. lasketaan ajoneuvon keskipisteen ympyräkaaren jänteen pituus AS-84.327 Paikannus- ja navigointimenetelmät Ratkaisut 2.. a) Kun kuvan ajoneuvon kumpaakin pyörää pyöritetään tasaisella nopeudella, ajoneuvon rata on ympyränkaaren segmentin muotoinen. Hitaammin kulkeva

Lisätiedot

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin.

Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet suoritetaan peräkkäin. 2. Ohjausrakenteet Ohjausrakenteiden avulla ohjataan ohjelman suoritusta. peräkkäisyys valinta toisto Koottu lause; { ja } -merkkien väliin kirjoitetut lauseet muodostavat lohkon, jonka sisällä lauseet

Lisätiedot

SGN-1200 Signaalinkäsittelyn menetelmät, Tentti

SGN-1200 Signaalinkäsittelyn menetelmät, Tentti SGN-1200 Signaalinkäsittelyn menetelmät, Tentti 5.5.2008 Kirjoita nimesi ja opiskelijanumerosi jokaiseen paperiin. Vastauspaperit tullaan irrottamaan toisistaan. Jos tila ei riitä, jatka kääntöpuolelle

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

Ongelma 1: Onko datassa tai informaatiossa päällekkäisyyttä?

Ongelma 1: Onko datassa tai informaatiossa päällekkäisyyttä? Ongelma 1: Onko datassa tai informaatiossa päällekkäisyyttä? 2012-2013 Lasse Lensu 2 Ongelma 2: Voidaanko dataa tai informaatiota tallettaa tiiviimpään tilaan koodaamalla se uudelleen? 2012-2013 Lasse

Lisätiedot

MS-A0003/A0005 Matriisilaskenta Laskuharjoitus 2 / vko 45

MS-A0003/A0005 Matriisilaskenta Laskuharjoitus 2 / vko 45 MS-A3/A5 Matriisilaskenta Laskuharjoitus 2 / vko 45 Tehtävä (L): Hahmottele kompleksitasoon ne pisteet, jotka toteuttavat a) z 2i = 2, b) z 2i < 2, c) /z

Lisätiedot