Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Koko: px
Aloita esitys sivulta:

Download "Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN"

Transkriptio

1 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y

2 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä monimutkaisempia kombinaatiopiirityyppejä niillä voidaan toteuttaa käytännön digitaalilaitteissa usein tarvittavia kytkentäfunktioita esitettävät kombinaatiopiirielimet ovat EHDOTON TAI -portti, dekooderi, tulovalitsin ja lähtövalitsin kuvataan sovelluksia, joissa kyseisiä piirielimiä voidaan käyttää esitetään, miten tulovalitsinta käytetään yleislogiikkapiirinä Luvun tavoitteena on saada yleiskäsitys käytännön digitaalilaitteissa käytettävistä kombinaatiopiirielimistä ja niiden toteuttamista funktioista oppia toteuttamaan annettu kytkentäfunktio tulovalitsimella

3 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe EHDOTON TAI -funktio ja -portti (EXCLUSIVE OR)? EHDOTON TAI -funktiolla on kaksi muuttujaa EHDOTON TAI -funktio saa arvon, kun täsmälleen yksi sen muuttuja saa arvon saa arvon aina muulloin EHDOTON TAI -funktion operaattorin symboli on Käytetään myös symbolia $ ja operaattoria XOR Muuttujien A ja B EHDOTON TAI -funktio F F = A B + A B = A B EHDOTON TAI -portin piirrosmerkki A B = F XOR EHDOTON TAI -funktion A B totuustaulu A B A B EHDOTON TAI -portteja on saatavilla standardipiireinä neljä porttia/paketti

4 Digitaalitekniikan matematiikka Luku 8 Sivu 4 ().9. Fe EHDOTON TAI -funktion ominaisuuksia Teoreemoja A = A A = A A A = A A = A B = B A A B = A B A B = A B (A B) C = A (B C) = A B C XOR Useamman kuin kahden muuttujan funktio A B N saa arvon, kun pariton määrä sen muuttujia saa arvon Tätä funktiota nimitetään PARITON-funktioksi (ODD)

5 Digitaalitekniikan matematiikka Luku 8 Sivu 5 ().9. Fe EHDOTON TAI -portin sovelluksia Ohjattava invertteri Toiminta A INV = F INV INV F A A INV Digitaalinen yhtäsuuruuden vertailupiiri Aritmeettiset piirit: summabitin muodostus Pariteetin muodostus ja tarkastus PARITON-funktiolla XOR-synteesi: eräät piirit on yksinkertaisinta toteuttaa JA- ja EHDOTON TAI -porteilla tätä hyödynnetään eräissä ohjelmoitavissa logiikkaverkkotyypeissä Virheentarkastus: CRC (Cyclic Redundancy Check) -polynomin muodostus ja tarkastus Vaiheilmaisin: samantaajuisten digitaalisten signaalien vaihe-eron ilmaisu Valesatunnaissignaalien generointi tietoliikennetekniikassa

6 Digitaalitekniikan matematiikka Luku 8 Sivu 6 ().9. Fe Ekvivalenssifunktio ja -portti (EXCLUSIVE NOR) Ekvivalenssifunktiolla eli SAMA-funktiolla on kaksi muuttujaa Ekvivalenssifunktio saa arvon, kun sen molemmilla muuttujilla on sama arvo saa arvon aina muulloin Ekvivalenssifunktio on EHDOTON TAI -funktion komplementtifunktio Muuttujien A ja B ekvivalenssifunktio F F = A B + A B = A B Ekvivalenssiportin piirrosmerkki A B = F Ekvivalenssifunktion A B totuustaulu A B A B XNOR Lisä

7 Digitaalitekniikan matematiikka Luku 8 Sivu 7 ().9. Fe Dekooderit Dekooderi (decoder) dekoodaa binaariluvun unaarimuotoon Unaarimuoto on n:stä -muoto Dekooderi muodostaa tulomuuttujien minimitermit Kahdesta neljään -dekooderi (-bittinen dekooderi) D = A A, D = A A, D = A A, D = A A DEC 4 Yleinen tarkennusmerkki A A Painokertoimet Piirrosmerkki X/Y D D D D A A D Minimitermien numerot Totuustaulu D D D Tulojen muodostaman binaariluvun osoittama lähtö =

8 Digitaalitekniikan matematiikka Luku 8 Sivu 8 ().9. Fe Invertoitulähtöinen dekooderi Invertoitulähtöinen dekooderi muodostaa muuttujien maksimitermit Pääosa erillisinä saatavilla olevista dekoodereista on invertoitulähtöisiä Invertoitulähtöinen kahdesta neljään -dekooderi D = A + A, D = A + A, D = A + A, D = A + A 4 Piirrosmerkki Totuustaulu A A X/Y D D D D A A D D D D Tulojen muodostaman binaariluvun osoittama lähtö =

9 Digitaalitekniikan matematiikka Luku 8 Sivu 9 ().9. Fe? Sallintatulolla varustettu dekooderi Käytännön dekooderipiireissä on yleensä sallintatulo Sallintatulo on yleensä nollana aktiivinen Sallintatulolla voidaan sallia piirin normaali toiminta pakottaa piirin lähdöt ei-aktiiviseen arvoon () Sallintatulolla varustetun kahdesta neljään -dekooderin piirrosmerkki ja totuustaulu EN A X/Y A D Sallintasignaalin A D arvo D EN EN D pakottaa kaikki lähdöt X arvoon A X EN D D Esittele dekooderi D D

10 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Dekooderin sovelluksia ja dekooderityyppejä Yleisesti yhden aktivointi monesta vaihtoehdosta Muistien osoitedekoodaus muistipiirien sisällä useita muistipiirejä käsittävissä muisteissa Lamppujen ja näyttöjen ohjaus yksi lamppu tai näytön numero kerrallaan palaa Oheispiirien ja liitäntälaitteiden valinta käyttöön piireissä kolmitilalähdöt -dekooderi EN X/Y 4 -dekooderi EN X/Y 8 -dekooderi 4 EN X/Y X/Y

11 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Käytännön dekoodereita Lisä Saatavilla olevia dekoodereita: Tunnus Tyyppi Lähtö Määrä 74xx9 4 Invertoiva /paketti 74xx9 4 Ei-invertoiva /paketti 74xx8 8 Invertoiva /paketti 74xx8 8 Ei-invertoiva /paketti 74xx4 4 Invertoiva /paketti 74xx Invertoiva /paketti X/Y

12 Kooderit Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Lisä Kooderi (encoder) muuntaa unaarimuodosta binaarimuotoon Dekooderiin verrattuna käänteinen toiminta Esimerkki: kaksibittinen binaarikooderi X/Y Piirrosmerkki Totuustaulu X/Y D D D D A A

13 Prioriteettikooderi Piirrosmerkki Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Edellä esitetyn kooderin toiminta ei ole määritelty, kun useat tulosignaalit saavat arvon Prioriteettikooderissa (priority encoder) eniten merkitsevä ykkönen määrää lähtökoodin Prioriteettikooderia käytetään mm. näppäimistön liitäntään digitaalilaitteeseen Käytännössä saatavilla 8 - ja 4 -prioriteettikoodereita Esimerkki: kaksibittinen prioriteettikooderi PRI Totuustaulu Lisä HPRI/BIN D D X D X X D X X X A A

14 Digitaalitekniikan matematiikka Luku 8 Sivu 4 ().9. Fe Tulovalitsin eli multiplekseri Tulovalitsin (multiplexer) vastaa moniasentoista valintakytkintä Datalähtöön yhdistyy valinnan mukaan yksi datatuloista Toiminta voidaan kuvata toimintataulukolla Esimerkki: 4 tulovalitsin Datatulot Valintatulot Datalähtö Yleinen tarkennusmerkki S S D D D D Piirrosmerkki G Y Toimintataulukko S S Y D D D D

15 Digitaalitekniikan matematiikka Luku 8 Sivu 5 ().9. Fe Sallintatulolla varustettu tulovalitsin EN = Y = D i Esittele tulovalitsin EN = Y = EN Piirrosmerkki Toimintataulukko? Sallintatulo EN S S EN G EN S S Y D D D D D D Y X X D D

16 Digitaalitekniikan matematiikka Luku 8 Sivu 6 ().9. Fe Käytännön tulovalitsimia Lisä Saatavilla on laaja valikoima tulovalitsimia, mm. seuraavat: Tunnus Tyyppi Lähtö Määrä Sallintasignaali 74xx57 Ei-invertoiva 4/paketti Yhteinen kaikille 74xx58 Invertoiva 4/paketti Yhteinen kaikille 74xx5 4 Ei-invertoiva /paketti Kummallekin oma 74xx5 4 Invertoiva /paketti Kummallekin oma 74xx5 8 Inv. ja ei.inv. /paketti On 74xx5 6 Invertoiva /paketti On

17 Digitaalitekniikan matematiikka Luku 8 Sivu 7 ().9. Fe Tulovalitsimen sovelluksia Yleisesti signaalin valinta useasta eri lähteestä operandin valinta laskutoimituksissa signaalin valinta yhteiseen dataväylään Valintakriteeri voi olla esimerkiksi tietyn ehdon toteutuminen vakiokierto ajan mukaan Kanavointi eli multipleksaus useasta eri lähteestä tulevien signaalien yhdistäminen samaksi bittivirraksi bitit säännönmukaisessa järjestyksessä kunkin bitin kestoaika on sama käyttö mm. tiedon siirtoon sarjamuodossa Kytkentäfunktion toteutus kaksi erilaista toteutustapaa Kanavan n:o Esimerkki: puheen peruskanavointi digitaalisessa puhelinverkossa Ohj.-kan. Puhekan Ohj.-kan. Puhekan G Kanavoitu signaali

18 Digitaalitekniikan matematiikka Luku 8 Sivu 8 ().9. Fe Kytkentäfunktion toteutus tulovalitsimella, tapa N-valintatuloisella tulovalitsimella voidaan toteuttaa mielivaltainen N:n muuttujan funktio Tulovalitsin on yleislogiikkapiiri Kytkentä saadaan suoraan funktion totuustaulusta Kytkentää on helppo muuttaa, jos funktiota halutaan muuttaa Edullinen tapa, mikäli funktio on mutkikas eikä sievene Muuttujat kytketään valintatuloihin Datatuloihin kytketään funktiosta riippuen joko tai Tätä toteutustapaa käytetään yleisesti ohjelmoitavissa logiikkaverkoissa

19 Digitaalitekniikan matematiikka Luku 8 Sivu 9 ().9. Fe Kytkentäfunktion toteutus tulovalitsimella, esimerkki Kolmen muuttujan funktio Tarvitaan 8 tulovalitsin Esimerkki: F(A, B, C) = Σ m(,, 6, 7) Huomaa järjestys! Toteutus? 4 Totuustaulu A B C F C B A G 7 F

20 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Kytkentäfunktion toteutus tulovalitsimella, tapa N-valintatuloisella tulovalitsimella voidaan toteuttaa mielivaltainen N+:n muuttujan funktio Kytkentä saadaan helposti funktion totuustaulusta Kytkentää on suhteellisen helppo muuttaa, jos funktiota halutaan muuttaa N muuttujaa kytketään valintatuloihin Datatuloihin kytketään funktiosta riippuen joko, tai N+. muuttuja tai sen komplementti

21 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Kytkentäfunktion toteutus tulovalitsimella, esimerkki Kolmen muuttujan funktio Tarvitaan 4 tulovalitsin Esimerkki: F(A, B, C) = Σ m(,, 6, 7) Totuustaulu A B C F Huomaa järjestys! Toteutus? 5 F = C F = C F = F = B A C C G F

22 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Lähtövalitsin D Yhdistää datatulon yhteen useasta lähdöstä Muut lähdöt vakiotilassa ( tai ) Lähtövalitsin on sama piiri kuin sallintatulolla varustettu dekooderi Keskeinen sovellus kanavoinnin purku Esimerkki: 4 -lähtövalitsin Yleinen tarkennusmerkki DX tai D Datalähdöt Valintatulot S G S DIN Piirrosmerkki DX Datatulo D D D D S Toimintataulukko S D D D D DIN DIN DIN DIN

23 Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Yhteenveto ovat ovat perusportteja mutkikkaampia monikäyttöisiä kombinaatiopiirejä Kaksituloisen EHDOTON TAI TAI -portin -portin lähtö lähtö saa saa arvon arvon yksi, yksi, kun kun täsmälleen yksi yksi sen sen tuloista tuloista saa saa arvon arvon yksi yksi EHDOTON TAI TAI -funktiolla on on hyödyllisiä ominaisuuksia ja ja monia monia käyttösovelluksia Dekooderi muuntaa binaariluvun unaarimuotoon eli eli synnyttää muuttujien kaikki kaikki minimitermit tai tai maksimitermit Tulovalitsin kytkee kytkee valintasignaalien ohjaamana yhden yhden useasta datatulostaan datalähtöön Tulovalitsimella on on runsaasti erilaisia sovelluksia N-valintatuloisella tulovalitsimella voidaan toteuttaa toteutustavasta riippuen mielivaltainen N:n N:n tai tai N+:n N+:n muuttujan funktio funktio Lähtövalitsin on on sama sama piiri piiri kuin kuin sallintatulolla varustettu dekooderi

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi.

Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) Kytkentäalgebra A + 1 = 1 A = A A + B C = (A + B) (A + C) A 0 = 0. Maksimitermi. Digitaalitekniikan matematiikka Luku 4 Sivu 1 (15) A + 1 = 1 A + B C = (A + B) (A + C) F(A, B, C) = Σ m (2, 3, 5, 7) Maksimitermi A = A m0 A 0 = 0 M7 A + B = A B Minimitermi Digitaalitekniikan matematiikka

Lisätiedot

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU OPINNÄYTETYÖ - AMMATTIKORKEAKOULUTUTKINTO TEKNIIKAN JA LIIKENTEEN ALA DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU T E K I J Ä : Toni Halonen SAVONIA-AMMATTIKORKEAKOULU OPINNÄYTETYÖ

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Digitaalitekniikan matematiikka Luku 13 Sivu 2 (10) Johdanto Tässä luvussa esitetään virheen havaitsevien ja korjaavien koodaustapojen perusteet ja käyttösovelluksia

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

Harjoitustehtävien ratkaisuja

Harjoitustehtävien ratkaisuja igitaalitekniikan matematiikka arjoitustehtävien ratkaisuja Sivu (22) 6.3.2 e arjoitustehtävien ratkaisuja uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä, jossa käytävän kummassakin

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu 2 (9) Johdatus digitaalitekniikkaan.9.2 Fe Johdanto

Lisätiedot

Ratkaisu. Ensimmäinen kuten P Q, toinen kuten P Q. Kolmas kuten P (Q R):

Ratkaisu. Ensimmäinen kuten P Q, toinen kuten P Q. Kolmas kuten P (Q R): Diskreetti matematiikka, sks 2010 Harjoitus 2, ratkaisuista 1. Seuraavassa on kuvattu kolme virtapiiriä, joissa on paristo, sopiva lamppu L ja katkaisimia P, Q, R, joiden läpi virta kulkee (1) tai ei kulje

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Piirikytkentäiset kytkentäkentät. Kapeakaistakenttä kytkee PCM-aikavälejä

Piirikytkentäiset kytkentäkentät. Kapeakaistakenttä kytkee PCM-aikavälejä Piirikytkentäiset kytkentäkentät Mitä ja miksi Aikakytkentä Tilakytkentä Analogiat Tila-tila Aika-tila AA AT TA TT Rka/ML -k000 Tiedonvälitystekniikka I 4 - Kapeakaistakenttä kytkee PCM-aikavälejä PCM30

Lisätiedot

Johdatus digitaalitekniikkaan

Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Digitaalitekniikan matematiikka Luku Sivu (9) Johdatus digitaalitekniikkaan.9. e Johdatus digitaalitekniikkaan Johdanto

Lisätiedot

Kappale 20: Kantaluvut

Kappale 20: Kantaluvut Kappale 20: Kantaluvut 20 Johdanto: Kantaluvut... 328 Kantalukujen syöttäminen ja muuntaminen... 329 Matemaattiset toiminnot Hex- ja Bin-luvuilla... 330 Bittien vertaileminen ja manipulointi... 331 Huom!

Lisätiedot

SISÄLLYS - DIGITAALITEKNIIKKA

SISÄLLYS - DIGITAALITEKNIIKKA SISÄLLYS - DIGITAALITEKNIIKKA Digitaalitekniikan perusteita...2 Bitti (bit)...2 Tavu (bytes)...2 Sana (word)...2 Yksiköt...2 Binääri järjestelmän laskutapa...2 Esimerkki: Digikuvan siirron kestoaika...2

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2016-2017 2. Lukujen esittäminen ja aritmetiikka 2.1 Kantajärjestelmät ja lukujen esittäminen Käytettävät lukujoukot: Luonnolliset luvut IN = {0,1,2,3,... } Positiiviset kokonaisluvut

Lisätiedot

Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) Lukujärjestelmämuunnokset. 2 s s

Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) Lukujärjestelmämuunnokset. 2 s s Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) k 10 2 10 2 s 10 10 8 10 16 10 2 10 2 s 2 8 8 2 2 16 16 2 Digitaalitekniikan matematiikka Luku 10 Sivu 2 (14) Johdanto Tässä luvussa perustellaan, miksi

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Kilpailijan nimi: 1) Oheisen kytkennän kokonaisresistanssi on n. 33 Ohm 150 Ohm a) 70 Ohmia b) 100 Ohmia c) 120 Ohmia 120 Ohm 2) Oheisen kytkennän

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

13. Loogiset operaatiot 13.1

13. Loogiset operaatiot 13.1 13. Loogiset operaatiot 13.1 Sisällys Loogiset operaatiot AND, OR, XOR ja NOT. Operaatioiden ehdollisuus. Bittioperaatiot. Loogiset operaatiot ohjausrakenteissa. Loogiset operaatiot ja laskentajärjestys.

Lisätiedot

Piirikytkentäiset kytkentäkentät

Piirikytkentäiset kytkentäkentät Piirikytkentäiset kytkentäkentät Mitä ja miksi Tilakytkentä Aikakytkentä Analogiat Tila-tila Aika-tila Kaksiportaiset kytkentäkentät AA AT TA TT Rka/ML -k00 Tiedonvälitystekniikka I 4 - Kurssin kuva välitysjärjestelmästä

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen.9.2 Fe Lukujärjestelmät Kymmen- eli desimaalijärjestelmä: kantaluku perinteisesti käytetty ja tuttu numerot,,

Lisätiedot

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA!

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e igitaalitekniikan matematiikka Luku Sivu (9) Opintojakson esittely.9. e Yleistä opintojaksosta Laajuus op = 8 h, kokonaan syyslukukauden

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Kompleksilukujen kunnan konstruointi

Kompleksilukujen kunnan konstruointi Kompleksilukujen kunnan konstruointi Seuraava esitys osoittaa, miten kompleksilukujoukko voidaan määritellä tunnetuista reaalisista käsitteistä lähtien. Määrittelyjen jälkeen on helppoa osoittaa Mathematican

Lisätiedot

Ohjelmoijan binaarialgebra ja heksaluvut

Ohjelmoijan binaarialgebra ja heksaluvut Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoinaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

13. Loogiset operaatiot 13.1

13. Loogiset operaatiot 13.1 13. Loogiset operaatiot 13.1 Sisällys Loogiset operaatiot AND, OR, XOR ja NOT. Operaatioiden ehdollisuus. Bittioperaatiot. Loogiset operaatiot ohjausrakenteissa. Loogiset operaatiot ja laskentajärjestys.

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

ANSI/IEEE Std

ANSI/IEEE Std Digitaalitekniikan matematiikka Luku 9 Sivu 1 (26) Lukujärjestelmät ja lukujen esittäminen ANSI/IEEE Std 754-2008 0 1 0 1 1 0 0 0 B = Σ B i 2 i Digitaalitekniikan matematiikka Luku 9 Sivu 2 (26) Johdanto

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Ehto- ja toistolauseet

Ehto- ja toistolauseet Ehto- ja toistolauseet 1 Ehto- ja toistolauseet Uutena asiana opetellaan ohjelmointilauseet / rakenteet, jotka mahdollistavat: Päätösten tekemisen ohjelman suorituksen aikana (esim. kyllä/ei) Samoja lauseiden

Lisätiedot

Algebra I Matematiikan ja tilastotieteen laitos Ratkaisuehdotuksia harjoituksiin 3 (9 sivua) OT

Algebra I Matematiikan ja tilastotieteen laitos Ratkaisuehdotuksia harjoituksiin 3 (9 sivua) OT Algebra I Matematiikan ja tilastotieteen laitos Ratkaisuehdotuksia harjoituksiin 3 (9 sivua) 31.1.-4.2.2011 OT 1. Määritellään kokonaisluvuille laskutoimitus n m = n + m + 5. Osoita, että (Z, ) on ryhmä.

Lisätiedot

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15)

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) A = a = i i w i Digitaalitekniikan matematiikka Luku 12 Sivu 2 (15) Johdanto Tässä luvussa esitetään kymmenjärjestelmän lukujen eli BCD-lukujen esitystapoja

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Signaalien datamuunnokset. Näytteenotto ja pito -piirit

Signaalien datamuunnokset. Näytteenotto ja pito -piirit Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoimaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

Approbatur 3, demo 1, ratkaisut A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat.

Approbatur 3, demo 1, ratkaisut A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat. Approbatur 3, demo 1, ratkaisut 1.1. A sanoo: Vähintään yksi meistä on retku. Tehtävänä on päätellä, mitä tyyppiä A ja B ovat. Käydään kaikki vaihtoehdot läpi. Jos A on rehti, niin B on retku, koska muuten

Lisätiedot

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla

Lisätiedot

Kompleksilukujen kunnan konstruointi

Kompleksilukujen kunnan konstruointi ckunta.nb Kompleksilukujen kunnan konstruointi Seuraava esitys osoittaa, miten kompleksilukujoukko voidaan määritellä tunnetuista reaalisista käsitteistä lähtien. Määrittelyjen jälkeen on helppoa osoittaa

Lisätiedot

11:60-13/07. Muut toiminnot - työtasanne jäteauton päällirakenteeseen. Painos 1. Scania CV AB 2007, Sweden

11:60-13/07. Muut toiminnot - työtasanne jäteauton päällirakenteeseen. Painos 1. Scania CV AB 2007, Sweden 11:60-13/07 Painos 1 fi Muut toiminnot - työtasanne jäteauton päällirakenteeseen Scania CV AB 2007, Sweden Sisältö Sisältö Selostus...3 Alustaerittely...4 Kytkentäohjeet Vaihtoehto 1: Aktivointi maadoittamalla...6

Lisätiedot

Joukot. Georg Cantor ( )

Joukot. Georg Cantor ( ) Joukot Matematiikassa on pyrkimys määritellä monimutkaiset asiat täsmällisesti yksinkertaisempien asioiden avulla. Tarvitaan jokin lähtökohta, muutama yleisesti hyväksytty ja ymmärretty käsite, joista

Lisätiedot

Johdatus matemaattiseen päättelyyn

Johdatus matemaattiseen päättelyyn Johdatus matemaattiseen päättelyyn Maarit Järvenpää Oulun yliopisto Matemaattisten tieteiden laitos Syyslukukausi 2015 1 Merkintöjä 2 Todistamisesta 3 Joukko-oppia 4 Funktioista Funktio eli kuvaus on matematiikan

Lisätiedot

Vapaa-asennon automaattikytkennän aktivointi. Yleistä

Vapaa-asennon automaattikytkennän aktivointi. Yleistä Yleistä Yleistä Toiminto on saatavilla ajoneuvoihin, joissa on seuraavat toiminnot: Automaattivaihteisto Täysautomaattinen Opticruise (ajoneuvot ilman kytkinpoljinta). Koskee 9.0.01 jälkeen valmistettuja

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

5. Siirtoyhteyskerros linkkikerros (Data Link Layer)

5. Siirtoyhteyskerros linkkikerros (Data Link Layer) 5. Siirtoyhteyskerros linkkikerros (Data Link Layer) yhtenäinen linkki solmusta solmuun bitit sisään => bitit ulos ongelmia: siirtovirheet havaitseminen korjaaminen solmun kapasiteetti vuonvalvonta yhteisen

Lisätiedot

5. Siirtoyhteyskerros linkkikerros (Data Link Layer)

5. Siirtoyhteyskerros linkkikerros (Data Link Layer) 5. Siirtoyhteyskerros linkkikerros (Data Link Layer) yhtenäinen linkki solmusta solmuun bitit sisään => bitit ulos ongelmia: siirtovirheet havaitseminen korjaaminen solmun kapasiteetti vuonvalvonta yhteisen

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä 2. Kirjoita hakukenttään:

Lisätiedot

Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG. AnviaTV Oy Yrittäjäntie 15, 6010 Seinäjoki,

Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG. AnviaTV Oy Yrittäjäntie 15, 6010 Seinäjoki, Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG KÄYTTÖ -JA ASENNUSOHJE Sisällysluettelo 1. Tärkeää tietoa... 2 1.1. Käytöstä poistettujen sähkö- ja elektroniikkalaiteiden hävittäminen

Lisätiedot

Ratkaisu: a) Kahden joukon yhdisteseen poimitaan kaikki alkiot jotka ovat jommassakummassa joukossa (eikä mitään muuta).

Ratkaisu: a) Kahden joukon yhdisteseen poimitaan kaikki alkiot jotka ovat jommassakummassa joukossa (eikä mitään muuta). Matematiikan laitos Johdatus Diskreettiin Matematiikaan Harjoitus 1 03.11.2010 Ratkaisuehdotuksia Aleksandr Nuija 1. Tarkastellaan joukkoja A = {1,3,4}, B = {2,3,7,9} ja C = {2, 5, 7}. Määritä joukot (a)

Lisätiedot

Hälytysanturien liittäminen. Yleistä

Hälytysanturien liittäminen. Yleistä Yleistä ALM-ohjausyksikkö (hälytysjärjestelmä) voidaan tilata tehtaalla valmisteltuna ulkoisiin hälytysantureihin liittämistä varten. Valmistelu koostuu liittimestä C8112. Liitin on keltainen ja sijaitsee

Lisätiedot

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan

Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Johdatus digitaalitekniikkaan Digitaalitekniikan matematiikka Luku 1 Sivu 1 (19) Digitaalitekniikan matematiikka Luku 1 Sivu 2 (19) Johdanto Tässä luvussa esitellään tiedon lajeja ja tiedolle tehtävää käsittelyä käsitellään tiedon

Lisätiedot

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9)

Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9) Tervetuloa opiskelemaan DIGITAALI- TEKNIIKKAA! Digitaalitekniikan matematiikka Luku 0 Sivu 1 (9) Digitaalitekniikan matematiikka Luku 0 Sivu 2 (9) Yleistä opintojaksosta Laajuus 3 op = 80 h, kokonaan lukukauden

Lisätiedot

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti LUMECOM Jyrsinsovellus 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti 11.4.2018 Asennusohje - ProJyrsin 1. Avaa Google Play Store tabletiltasi - sovellus ei tue toistaiseksi Apple/IOS käyttöjärjestelmiä

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea

Lisätiedot

BL40A1711 Johdanto digitaalielektroniikkaan: CMOS-tekniikka ja siihen perustuvat logiikkapiiriperheet

BL40A1711 Johdanto digitaalielektroniikkaan: CMOS-tekniikka ja siihen perustuvat logiikkapiiriperheet BL40A1711 Johdanto digitaalielektroniikkaan: CMOS-tekniikka ja siihen perustuvat logiikkapiiriperheet Bittioperaatioiden toteuttamisesta Tarvitaan kolmea asiaa: 1. Menetelmät esittää ja siirtää bittejä

Lisätiedot

Tekniikka ja liikenne (5) Tietoliikennetekniikan laboratorio

Tekniikka ja liikenne (5) Tietoliikennetekniikan laboratorio Tekniikka ja liikenne 4.4.2011 1 (5) Tietoliikennetekniikan laboratorio Työ 1 PCM-työ Työn tarkoitus Työssä tutustutaan pulssikoodimodulaation tekniseen toteutustapaan. Samalla nähdään, miten A/Dmuunnin

Lisätiedot

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03 TRIFITEK FINLAND OY 2012 V1.0 1. OHJELMISTO; ASENTAMINEN, KÄYTTÖ 1.1 Ohjelmiston asentaminen tietokoneeseen, Ajurin asentaminen Laitteen mukana toimitetaan muistitikulla

Lisätiedot

Matematiikan ja tilastotieteen laitos Algebra I - Kesä 2009 Ratkaisuehdoituksia harjoituksiin 8 -Tehtävät 3-6 4 sivua Heikki Koivupalo ja Rami Luisto

Matematiikan ja tilastotieteen laitos Algebra I - Kesä 2009 Ratkaisuehdoituksia harjoituksiin 8 -Tehtävät 3-6 4 sivua Heikki Koivupalo ja Rami Luisto Matematiikan ja tilastotieteen laitos Algebra I - Kesä 2009 Ratkaisuehdoituksia harjoituksiin 8 -Tehtävät 3-6 4 sivua Heikki Koivupalo ja Rami Luisto 3. Oletetaan, että kunnan K karakteristika on 3. Tutki,

Lisätiedot

isomeerejä yhteensä yhdeksän kappaletta.

isomeerejä yhteensä yhdeksän kappaletta. Tehtävä 2 : 1 Esitetään aluksi eräitä havaintoja. Jokaisella n Z + symbolilla H (n) merkitään kaikkien niiden verkkojen joukkoa, jotka vastaavat jotakin tehtävänannon ehtojen mukaista alkaanin hiiliketjua

Lisätiedot

Helsingin, Itä-Suomen, Jyväskylän, Oulun, Tampereen ja Turun yliopisto Matematiikan valintakoe 11.6.2012 klo 10 13 Ratkaisut ja pisteytysohjeet

Helsingin, Itä-Suomen, Jyväskylän, Oulun, Tampereen ja Turun yliopisto Matematiikan valintakoe 11.6.2012 klo 10 13 Ratkaisut ja pisteytysohjeet Helsingin, Itä-Suomen, Jyväskylän, Oulun, Tampereen ja Turun yliopisto Matematiikan valintakoe 11.6.01 klo 10 13 t ja pisteytysohjeet 1. Ratkaise seuraavat yhtälöt ja epäyhtälöt. (a) 3 x 3 3 x 1 4, (b)

Lisätiedot

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Pasi Vähämartti ITSEOPISKELU 1(10) CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Tutki data-kirjasta XC9500-sarjan CPLD piirin: 1. Arkkitehtuuri 2. Suurimman ja pienimmän piirin portti-, pinni- ja

Lisätiedot

Derivaatan sovellukset (ääriarvotehtävät ym.)

Derivaatan sovellukset (ääriarvotehtävät ym.) Derivaatan sovellukset (ääriarvotehtävät ym.) Tehtävät: 1. Tutki derivaatan avulla funktion f kulkua. a) f(x) = x 4x b) f(x) = x + 6x + 11 c) f(x) = x4 4 x3 + 4 d) f(x) = x 3 6x + 1x + 3. Määritä rationaalifunktion

Lisätiedot

Kaikki kurssin laskuharjoitukset pidetään Exactumin salissa C123. Malliratkaisut tulevat nettiin kurssisivulle.

Kaikki kurssin laskuharjoitukset pidetään Exactumin salissa C123. Malliratkaisut tulevat nettiin kurssisivulle. Kombinatoriikka, kesä 2010 Harjoitus 1 Ratkaisuehdotuksia (RT (5 sivua Kaikki kurssin laskuharjoitukset pidetään Exactumin salissa C123. Malliratkaisut tulevat nettiin kurssisivulle. 1. Osoita, että vuoden

Lisätiedot

Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalilaiteteknologia ja sovellukset

Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalilaiteteknologia ja sovellukset Digitaalitekniikan matematiikka Luku 2 Sivu 1 (25) Digitaalitekniikan matematiikka Luku 2 Sivu 2 (25) Johdanto Tässä luvussa käsitellään digitaalilaitteiden osia ja rakennetta esitetään digitaalisiin mikropiireihin

Lisätiedot