ELEC-C3240 Elektroniikka 2

Koko: px
Aloita esitys sivulta:

Download "ELEC-C3240 Elektroniikka 2"

Transkriptio

1 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto

2 Luennon oppimistavoite Oppii tilakoneen toimintaperiaatteen (h) Oppii suunnittelemaan yksinkertaisia tilakoneita (2h) Tuntee muistipiirien toimintaperiaatteet. (,5h) Luento+laskari+itseopiskelu=2+2+3,5h=7,5h

3 Tilakone on lasten leikkiä

4 Synkronisten piirien peruselementti Nousevalla reunalla liipaistava D-kiikku liipaisu, kun kellosignaali muuttuu liipaisuhetkellä tutkitaan tulon arvo, lähtöön samaa arvoa seuraavaan liipaisuun asti Piirrosmerkki Toimintakaavio D CLK D C Q_ Q Dynaamisen oton merkintä D Q(t+) Tila Nollautuu Asettuu Aikakaavio CLK D Q _ Q S-88. Digitaalitekniikan perusteet 6. luento

5 Kombinaatio- ja sekvenssipiirien (tilakone) rakenne Kombinaatiopiiri Asynkroninen sekvenssipiiri Synkroninen sekvenssipiiri n Ottosignaalit Antosignaalit Kombi- m n Kellosignaali Kombinaatiopiiri m Tilarekisteri n Kombi- naatio- Nyky- piiri tila k Takaisinkytkentäsignaalit Antosignaalit k naatio- piiri Ottosignaalit Antosignaalit Ottosignaalit m Uusi tila k S-88. Digitaalitekniikan perusteet 6. luento

6 Kombinaatiopiirit vs. sekvenssipiirit (tilakone) Lähtö riippuu vain tulojen sen hetken arvoista Funktion toteutus Esim. Porttipiireillä, dekooderilla, multiplekserillä Sisäistä muistia Lähtö riippuu myös aiemmasta toiminnasta C A B & S-88. Digitaalitekniikan perusteet 6. luento

7 Tilakoneen esitystavat ASM-kaavio =Abstract State Machine ASM-kaavio Tilakaavio =state diagram EI H HJ Ottosignaalin HJK vaikutus Kellojakso 2 s JASK JASK KUPP AINA S-88. Digitaalitekniikan

8 ASM-kaavio: Merkinnät Terävä suorakulmio=tila, jonka sisällle listattu antosignaalit, joiden tila on tosi Tilan numero Ehtolause. Pyöreä suorakulmio=antosignaalit, joiden tila on tosi. Ei tila. S-88. Digitaalitekniikan perusteet 8. luento

9 Tilakoneen suunnittelun olennaiset asiat Kuinka monta tilaa tarvitaan. Siirtymäehdot tilojen välillä Lähtösignaalien määrittely Lähtösignaalien arvojen määrittely kaikissa tiloissa. Tilakonetyypit Mooren kone: lähtösignaalit riippuvat vain nykyisestä tilasta. Mealyn kone: Lähtösignaalit riippuvat nykyisestä tilasta ja tulosignaaleista. EI H HJ Ottosignaalin HJK vaikutus Kellojakso 2 s ASM-kaavio JASK JASK KUPP AINA S-88. Digitaalitekniikan

10 ASM-kaavio ja tilasiirrostaulu Aloita kaavio suorakulmiosta (alkutila), Määrittele, mitkä lähdöt, mitkä Määritteleihin tilaan seuraavaksi siirrytään ja millä ehdoilla Nimeä tilat, jos haluat Viimeiseksi numeroi tilat S-88. Digitaalitekniikan perusteet 8. luento

11 Synkronisen sekvenssipiirin suunnittelu Mieti tarvittava toiminta Tee ASM-kaavio Tee siitä tilasiirrostaulu Sievennä funktiot Tee kombinaatiopiirit Ottosignaalit IN IN Kellosignaali CLK NS NS Kombinaatiopiiri Tilarekisteri Nykytila PS PS Uusi tila NS NS Antosignaalit OUT OUT OUT2 S-88. Digitaalitekniikan perusteet 8. luento

12 Synkronisen tilakoneen suunnittelu. ASM (abstract state machine)-kaavio 2. tilataulukko ASM-kaavion perusteella 3. piirikaavion piirtäminen S-88. Digitaalitekniikan

13 . ASM-kaavio esimerkki ASM-kaavio Tilan nimi EI H Suorakaiteen sisällä Aktiiviset antosignaalit HJ Ottosignaalin HJK vaikutus Kellojakso 2 s JASK JASK KUPP AINA S-88. Digitaalitekniikan

14 ASM-kaavio ja piirin perusrakenne EI H HJ HJK JASK JASK KUPP CLK AINA D D Tarvittavat komponentit: D D C C Tilarekisteri Q Q Q Q Kombinaatiopiiri JASK KUPP D D AINA Kellojakso 2 s S-88. Digitaalitekniikan

15 2. tilataulukko Kombinaatiopiirin suunnittelu EI H HJ HJK JASK JASK KUPP Nykytila Q Q Tilataulukossa mukana myös ottosignaali Otto AINA X X X Uusi tila D D Annot JASK KUPP AINA Kellojakso 2 s S-88. Digitaalitekniikan

16 3. Tilataulukko: uudet tilat Uusi tila tilataulukossa: lausekkeet D-kiikkujen otoille ovat sekä nykytilan että ottojen funktioita Nykytila Q Q Otto AINA X X X Uusi tila D D Karnaugh n kartat: Q D Q AINA Q Kytkentäfunktioiden lausekkeet: D = Q + Q AINA D Q AINA D = Q Q + Q Q + Q AINA S-88. Digitaalitekniikan

17 3. Tilataulukko: annot Tämä piiri on Mooren kone: annot riippuvat vain nykytilasta tilasignaaleja 2, joten annot 2 muuttujan funktioita Nykytila Q Q Otto AINA X X X Annot JASK KUPP Karnaugh n kartat: JASK KUPP Q Q Q Q Q Q Annot eivät riipu otosta samat kartat ja funktiot! Kytkentäfunktioiden lausekkeet: = Q + Q JASK = Q KUPP = Q Q S-88. Digitaalitekniikan

18 4. Piirikaavion piirtäminen: kombinaatiopiiri Lausekkeet porttipiireillä D = Q + Q AINA D = Q Q + Q Q + Q AINA AINA Q Q & = D D = Q + Q Q JASK = Q JASK KUPP = Q Q & KUPP S-88. Digitaalitekniikan

19 Valmis piirikaavio Lisätään vielä kiikut AINA CLK D D D C D C Q Q Q & = D D JASK & KUPP S-88. Digitaalitekniikan

20 Mealyn kone, esimerkki, tilataulukko kielletystä tilasta poistuminen: tilaan ei pitäisi joutua, mutta poistutaan siitä varmuuden vuoksi vaikka tilaan Nykytila Otot Uusi tila Annot S S VALSE BOOST N N BASS HI- BELL HAT X X X X X X X X X X X S-88. Digitaalitekniikan perusteet 8. luento

21 Mealyn kone, esimerkki, uudet tilat Uuteen tilaan vaikuttaa tässä piirissä vain nykytila ja VALSE: Nykytila Otot Uusi tila S S VALSE N N S N: N: S S S VALSE VALSE N = S S + S S VALSE N = S S S-88. Digitaalitekniikan perusteet 8. luento

22 Mealyn kone, esimerkki, annot Antoihin vaikuttaa tässä piirissä vain nykytila ja BOOST: Nykytila Otot Annot S S BOOST BASS HI- BELL HAT X X X X X X S BASS: S BOOST BASS = S S HI-HAT: S X X X X BELL: S BOOST HI-HAT = S S X X BOOST S-88. Digitaalitekniikan perusteet 8. luento BELL = S BOOST

23 Mealyn kone, esimerkki, piirikaavio Muodostetaan ensin kombinaatiopiiri: N = S S + S S VALSE N = S S BASS = S BELL = S BOOST HI-HAT = S S-88. Digitaalitekniikan perusteet 8. luento

24 Mealyn kone, esimerkki, piirikaavio Lisätään sitten D-kiikut: S-88. Digitaalitekniikan perusteet 8. luento

25 MUISTIPIIRIT Keskeiset muistityypit tietokoneissa: - vaihtomuisti (RAM) - kiintomuisti (lukumuisti, ROM) RAM: luku ja kirjoitus nopeasti ROM: luku nopeasti, kirjoitus hitaasti jos ollenkaan S-88. Digitaalitekniikan

26 Kiintomuistin piirrosmerkki K x 8 ROM A A A2 A3 A4 A5 A6 A7 A8 A9 CS RD 9 ROM KX8 A 23 G EN[READ] []A []A [2]A [3]A [4]A [5]A [6]A [7]A D D D2 D3 D4 D5 D6 D7 S-88. Digitaalitekniikan

27 Muistien sanastoa Muistipaikka = sana (word) Sanan pituus on yleensä 8, 6, 32,... bittiä joskus muitakin, esim. 8 bittiä = tavu (byte) Jokaisella sanalla on osoite, jonka avulla sitä voidaan milloin vain osoittaa S-88. Digitaalitekniikan

28 Muistin koko ja sen merkintätapa Muistin koon ilmoittaminen b bittiä f tavua s x n ( sanaa x bittiä / sana) Esimerkkejä 64 tavua = 52 bittiä 256 Ktavua = 2 Mbittiä 32 K x 6 = 64 Ktavua = 256 Kbittiä 4 M x = 4 Mbittiä Usein käytössä etuliitteiden 2 n merkinnät: Yksikkö K M G T Kilo Mega Giga Tera Koko Huom! Nykyään usein M = 6 jne. Näin saadaan muisti näyttämään isommalta kuin onkaan S-88. Digitaalitekniikan

29 Muistin osoiteotot ja osoitteet Muistin sanojen lukumäärä määrää muistin osoiteottojen määrän ja osoiteavaruuden osoiteottoja n sanoja max 2 n s sanaa osoiteavaruus... s- Esimerkkejä Sanoja Osoiteottoja 64 6 K 32 K K 8 M 2 6 M 24 Osoiteotot A - A5 A - A9 A - A4 A - A7 A - A9 A - A23-3F - 3FF - 7FFF - 3FFFF - FFFFF - FFFFFF Osoiteavaruus S-88. Digitaalitekniikan

30 Ohjaussignaalit ja piirrosmerkki Piirin valintasignaali CS (chip select, joskus myös CE, chip enable) Luvun / kirjoituksen valinta: R / W (read / write) RAM 6X4 Osoiteotot A 5 3 Pieni muisti Piirin valintasignaali G 6 x 4 bittiä Luku/kirjoitussignaali (R/W) EN[READ] C2[WRITE] Dataotot A, 2D A Data-annot S-88. Digitaalitekniikan

31 Muistin osoiteavaruuden laajentaminen EN A A A-A9 DI-DI7 R/W 4K x 8 vaihtomuisti K x 8 -piireistä 8 EN X/Y _ G S-88. Digitaalitekniikan Osoitedekooderi RAM KX8 DIN DOUT ADDR CS R/W RAM KX8 DIN DOUT ADDR CS R/W RAM KX8 DIN DOUT ADDR CS R/W RAM KX8 DIN DOUT ADDR CS R/W Vain yksi piiri kerrallaan valittuna 8 DO-DO7 Osoitteet -23 Osoitteet Osoitteet Osoitteet

32 Luennon oppimistavoite Oppii tilakoneen toimintaperiaatteen (h) Oppii suunnittelemaan yksinkertaisia tilakoneita (2h) Tuntee muistipiirien toimintaperiaatteet. (,5h) Luento+laskari+itseopiskelu=2+2+3,5h=7,5h

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Laitteiden sisäiseen tietoliikenteeseen on kehitetty omat dataväylänsä. Näistä tärkeimmät: 1 wire [ käyttää mm. Dallas, Maxim. ] I²C [ Trademark

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B igitaalitekniikan matematiikka Luku 5 Sivu (22).9.2 e = + = ( + ) = + = Espresso igitaalitekniikan matematiikka Luku 5 Sivu 2 (22).9.2 e Johdanto Tässä luvussa esitetään perusteet lausekemuodossa esitettyjen

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU

DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU OPINNÄYTETYÖ - AMMATTIKORKEAKOULUTUTKINTO TEKNIIKAN JA LIIKENTEEN ALA DIGITAALISTEN KOMBINAATIO- PIIRIEN LABORATORIOTÖIDEN SUUNNITTELU T E K I J Ä : Toni Halonen SAVONIA-AMMATTIKORKEAKOULU OPINNÄYTETYÖ

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

LABORAATIOSELOSTUSTEN OHJE H. Honkanen

LABORAATIOSELOSTUSTEN OHJE H. Honkanen LABORAATIOSELOSTUSTEN OHJE H. Honkanen Tämä ohje täydentää ja täsmentää osaltaan selostuskäytäntöä laboraatioiden osalta. Yleinen ohje työselostuksista löytyy intranetista, ohjeen on laatinut Eero Soininen

Lisätiedot

Ohjelmoijan binaarialgebra ja heksaluvut

Ohjelmoijan binaarialgebra ja heksaluvut Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoinaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net

Paavo Räisänen. Ohjelmoijan binaarialgebra ja heksaluvut. www.ohjelmoimaan.net Paavo Räisänen Ohjelmoijan binaarialgebra ja heksaluvut www.ohjelmoimaan.net Tätä opasta saa vapaasti kopioida, tulostaa ja levittää ei kaupallisissa tarkoituksissa. Kuitenkaan omille nettisivuille opasta

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

TIETOKANTOJEN PERUSTEET MARKKU SUNI

TIETOKANTOJEN PERUSTEET MARKKU SUNI TIETOKANTOJEN PERUSTEET MARKKU SUNI OSIO 01 Peruskäsitteitä Kurssin tavoite: antaa osallistujille valmiudet ymmärtää tietokantojen periaatteet ymmärtää tietokantojen suunnittelunäkökohtia osallistua tietokantojen

Lisätiedot

Luento 5. Timo Savola. 28. huhtikuuta 2006

Luento 5. Timo Savola. 28. huhtikuuta 2006 UNIX-käyttöjärjestelmä Luento 5 Timo Savola 28. huhtikuuta 2006 Osa I Shell-ohjelmointi Ehtolause Lausekkeet suoritetaan jos ehtolausekkeen paluuarvo on 0 if ehtolauseke then lauseke

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

Väylät. Tietokoneen rakenne. Stallings: Ch 3 Mitä väylällä liikkuu? Väylän ominaisuuksia PCI-väylä PCI Express. Luento 2-1

Väylät. Tietokoneen rakenne. Stallings: Ch 3 Mitä väylällä liikkuu? Väylän ominaisuuksia PCI-väylä PCI Express. Luento 2-1 Tietokoneen rakenne Luento 2 Väylät Stallings: Ch 3 Mitä väylällä liikkuu? Väylän ominaisuuksia PCI-väylä PCI Express Luento 2-1 Väylä (Sta06 Fig 3.16) Laitteiden väliseen kommunikointiin Tav. yleislähetys:

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

origo III neljännes D

origo III neljännes D Sijoita pisteet A(1,4) ja B(4,5;5) sekä C(-3,4) ja D(-4,--5) y II neljännes C A I neljännes B x origo III neljännes D IV neljännes KOTIT. Sijoita ja nimeä koordinaatistoon pisteitä niin, että pisteet yhdistettäessä

Lisätiedot

Esimerkkejä vaativuusluokista

Esimerkkejä vaativuusluokista Esimerkkejä vaativuusluokista Seuraaville kalvoille on poimittu joitain esimerkkejä havainnollistamaan algoritmien aikavaativuusluokkia. Esimerkit on valittu melko mielivaltaisesti laitoksella tehtävään

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Luento 2: Väylät Laitteiden väliseen kommunikointiin Tav. yleislähetys: kaikki kuulevat kaiken

Luento 2: Väylät Laitteiden väliseen kommunikointiin Tav. yleislähetys: kaikki kuulevat kaiken Tietokoneen rakenne Luento 2 Väylät Stallings: Ch 3 Mitä väylällä liikkuu? Väylän ominaisuuksia PCI-väylä PCI Express Luento 2-1 Väylä (Sta06 Fig 3.16) Laitteiden väliseen kommunikointiin Tav. yleislähetys:

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

B. 2 E. en tiedä C. 6. 2 ovat luonnollisia lukuja?

B. 2 E. en tiedä C. 6. 2 ovat luonnollisia lukuja? Nimi Koulutus Ryhmä Jokaisessa tehtävässä on vain yksi vastausvaihtoehto oikein. Laske tehtävät ilman laskinta.. Missä pisteessä suora y = 3x 6 leikkaa x-akselin? A. 3 D. B. E. en tiedä C. 6. Mitkä luvuista,,,

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

24.9.2015. Työasema- ja palvelinarkkitehtuurit (IC130301) Apumuistit. Kiintolevyt. 5 opintopistettä. Petri Nuutinen

24.9.2015. Työasema- ja palvelinarkkitehtuurit (IC130301) Apumuistit. Kiintolevyt. 5 opintopistettä. Petri Nuutinen Työasema- ja palvelinarkkitehtuurit (IC130301) 5 opintopistettä Petri Nuutinen 5 opintopistettä Petri Nuutinen Apumuistit Tarvitaan ohjelmien ja dokumenttien tallentamiseen, kiintolevyjen varmuuskopiointiin,

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

Käy vastaamassa kyselyyn kurssin pedanet-sivulla (TÄRKEÄ ensi vuotta ajatellen) Kurssin suorittaminen ja arviointi: vähintään 50 tehtävää tehtynä

Käy vastaamassa kyselyyn kurssin pedanet-sivulla (TÄRKEÄ ensi vuotta ajatellen) Kurssin suorittaminen ja arviointi: vähintään 50 tehtävää tehtynä Käy vastaamassa kyselyyn kurssin pedanet-sivulla (TÄRKEÄ ensi vuotta ajatellen) Kurssin suorittaminen ja arviointi: vähintään 50 tehtävää tehtynä (vihkon palautus kokeeseen tullessa) Koe Mahdolliset testit

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

Sulautetut järjestelmät

Sulautetut järjestelmät 1 Sulautetut järjestelmät Tietojenkäsittelytieteen koulukunta Sulautetut järjestelmät 2 Sulautetut järjestelmät Tyypillisiä sovelluskohteita» automaattiset tankkausjärjestelmät huoltoasemilla,» mekaanisen

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

Luento 2: Väylät Luento 2. Luento 2-1 R/W. Req / Rel. - Ajoitus. Luento 2-3. Memory. Luento 2-5

Luento 2: Väylät Luento 2. Luento 2-1 R/W. Req / Rel. - Ajoitus. Luento 2-3. Memory. Luento 2-5 Luento 2 Väylä (Sta06 Fig 3.16) Väylät Stallings: Ch 3 Mitä väylällä liikkuu? Väylän ominaisuuksia PCI-väylä PCI Express Laitteiden väliseen kommunikointiin Tav. yleislähetys: kaikki kuulevat kaiken u

Lisätiedot

UML- mallinnus: Tilakaavio

UML- mallinnus: Tilakaavio UML- mallinnus: Tilakaavio Karkea kuvaus UML- kaavioiden käytöstä ohjelmistonkehityksen eri vaiheissa ja tehtävissä. Mallinnus tilakaavioilla Tilakaaviolla kuvataan yhden luokan olioiden tilan muuttumista

Lisätiedot

Aloita Ratkaise Pisteytä se itse Merkitse pisteet saanut riittävästi pisteitä voit siirtyä seuraavaan osioon ei ole riittävästi

Aloita Ratkaise Pisteytä se itse Merkitse pisteet saanut riittävästi pisteitä voit siirtyä seuraavaan osioon ei ole riittävästi Aloita A:sta Ratkaise osion (A, B, C, D, jne ) yhtälö vihkoosi. Pisteytä se itse ohjeen mukaan. Merkitse pisteet sinulle jaettavaan tehtävä- ja arviointilappuun. Kun olet saanut riittävästi pisteitä (6)

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8)

Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Tervetuloa jatkamaan DIGITAALI- TEKNIIKAN opiskelua! Digitaalitekniikka (piirit) Luku 0 Sivu 1 (8) Digitaalitekniikka (piirit) Luku 0 Sivu 2 (8) Yleistä opintojaksosta Laajuus 3 op = 80 h, 1. periodilla

Lisätiedot

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona

Varauspumppu-PLL. Taulukko 1: ulostulot sisääntulojen funktiona Varauspumppu-PLL Vaihevertailija vertaa kelloreunoja aikatasossa. Jos sisääntulo A:n taajuus on korkeampi tai vaihe edellä verrattuna sisääntulo B:hen, ulostulo A on ylhäällä ja ulostulo B alhaalla ja

Lisätiedot

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA

XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA XILINXIN 7-SARJAN FPGA-PIIRIEN MUISTIRESURSSIT JA NIIDEN KÄYTTÖ SUUNNITTELUSSA Joona Salmela Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2019 2 Salmela J. (2019) Xilinxin

Lisätiedot

Kiertokysely. Sulautetut järjestelmät Luku 2 Sivu 1 (??)

Kiertokysely. Sulautetut järjestelmät Luku 2 Sivu 1 (??) Sulautetut järjestelmät Luku 2 Sivu 1 (??) Kiertokysely Perinteiset ohjelmointikielet kuten C tukevat hyvin sekventiaalista ohjelmointia, jossa herätteisiin reagointi on helppoa toteuttaa pollauksella

Lisätiedot

Vehicle Security System VSS3 - Vehicle original remote

Vehicle Security System VSS3 - Vehicle original remote Vehicle Security System VSS3 - Vehicle original remote Ajoneuvon alkuperäinen kaukosäädinavain Asetusopas - Finnish Arvoisa asiakas Tässä oppaassa on tietoja ja ohjeita siitä, miten eräitä toimintoja otetaan

Lisätiedot

811120P Diskreetit rakenteet

811120P Diskreetit rakenteet 811120P Diskreetit rakenteet 2016-2017 4. Joukot, relaatiot ja funktiot Osa 3: Funktiot 4.3 Funktiot Olkoot A ja B joukkoja. Funktio joukosta A joukkoon B on sääntö, joka liittää yksikäsitteisesti määrätyn

Lisätiedot

Ohjelmistojen mallintaminen Olioiden yhteistyö. 18.11.2008 Harri Laine 1

Ohjelmistojen mallintaminen Olioiden yhteistyö. 18.11.2008 Harri Laine 1 Ohjelmistojen mallintaminen Olioiden yhteistyö 18.11.2008 Harri Laine 1 Olioiden yhteistyö Oliokeskeisen ohjelmistonäkemyksen mukaan ohjelmiston palvelut tuotetaan olioiden yhteistyön tuloksena. Ohjelmisto

Lisätiedot

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti C! Perusteet 19.1.2017 Palautteesta (1. kierros toistaiseksi) Toistaiseksi helppoa Miksi vain puolet pisteistä? Vaikeinta oli ohjelmointiympäristön asennus ja käyttö Vaikeaa eroavuudet Pythonin ja C:n

Lisätiedot

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia Sami Haapanala syksy 2013 Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia, 3 op 9 luentoa, 3 laskuharjoitukset ja vierailu mittausasemalle Tentti Oppikirjana Rinne & Haapanala:

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

1. a) Laske lukujen 1, 1 ja keskiarvo. arvo. b) Laske lausekkeen. c) Laske integraalin ( x xdx ) arvo. MATEMATIIKAN MALLIKOE PITKÄ OPPIMÄÄRÄ

1. a) Laske lukujen 1, 1 ja keskiarvo. arvo. b) Laske lausekkeen. c) Laske integraalin ( x xdx ) arvo. MATEMATIIKAN MALLIKOE PITKÄ OPPIMÄÄRÄ 1 YLIOPPILASTUTKINTO- LAUTAKUNTA 13..015 MATEMATIIKAN MALLIKOE PITKÄ OPPIMÄÄRÄ A-osa Ratkaise kaikki tämän osan tehtävät 1 4. Tehtävät arvostellaan pistein 0 6. Kunkin tehtävän ratkaisu kirjoitetaan tehtävän

Lisätiedot

Älykännykät ovat pieneen tilaan paketoituja, mutta suuret ominaisuudet omaavia tietokoneita.

Älykännykät ovat pieneen tilaan paketoituja, mutta suuret ominaisuudet omaavia tietokoneita. Mikä on tietokone PUNOMO NETWORKS OY 22.7.2016 pva, piirroskuvat J. Mansikkaviita Henkilökohtaisesti olen aina valmis oppimaan, vaikka en välitäkään tulla opetetuksi. - Winston Churchill Tietokone on elektroninen

Lisätiedot

SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op) 12.1. 17.12.2010

SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op) 12.1. 17.12.2010 1 SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op) 12.1. 17.12.2010 2 KAJAANIN AMMATTIKORKEAKOULU Koulutuspalvelut SULAUTETTUJEN JÄRJESTELMIEN AMMATILLISET ERIKOISTUMISOPINNOT (30 op)

Lisätiedot

Vehicle Security System VSS3 - Alarm system remote

Vehicle Security System VSS3 - Alarm system remote Vehicle Security System VSS3 - Alarm system remote Hälytysjärjestelmän kauko-ohjain Asetusopas - Finnish Arvoisa asiakas Tässä oppaassa on tietoja ja ohjeita siitä, miten eräitä toimintoja otetaan käyttöön

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Algoritmit 1. Luento 3 Ti Timo Männikkö

Algoritmit 1. Luento 3 Ti Timo Männikkö Algoritmit 1 Luento 3 Ti 17.1.2017 Timo Männikkö Luento 3 Algoritmin analysointi Rekursio Lomituslajittelu Aikavaativuus Tietorakenteet Pino Algoritmit 1 Kevät 2017 Luento 3 Ti 17.1.2017 2/27 Algoritmien

Lisätiedot

Kytkentäkentän teknologia

Kytkentäkentän teknologia Kytkentäkentän teknologia Kertaus kentän rakenteeseen vaikuttavat teknologiset tekijät Huom. tätä ei löydy kirjasta! Rka/ML -k000 Tiedonvälitystekniikka I 9 - Kertaus - Tilaporras - esimerkki Tilakytkin

Lisätiedot

AU Automaatiotekniikka. Toimilohko FB

AU Automaatiotekniikka. Toimilohko FB AU080401 Automaatiotekniikka Toimilohko FB Tarkoitus Dokumentissa kuvataan, mikä on toimilohko (FB) miten toimilohko muodostetaan ja miten sitä sovelletaan S7 ohjelmointiympäristössä (STEP7) mitä etua

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. FT Ari Viinikainen TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op FT Ari Viinikainen Tietokoneen rakenne Keskusyksikkö, CPU Keskusmuisti Aritmeettislooginen yksikkö I/O-laitteet Kontrolliyksikkö Tyypillinen Von Neumann

Lisätiedot

07.02.2006 Nokeval Oy. Käyttöohje 7181

07.02.2006 Nokeval Oy. Käyttöohje 7181 07.02.2006 Nokeval Oy Käyttöohje 7181 Sisällysluettelo 1 Yleiskuvaus... 3 2 Tekniset tiedot... 4 3 Käyttöönotto... 5 3.1 Jumpperiasetukset... 5 3.2 Riviliitinkytkennät... 6 3.3 Asettelut... 7 3.3.1 Sarjaliikenne...

Lisätiedot

3 TOISEN ASTEEN POLYNOMIFUNKTIO

3 TOISEN ASTEEN POLYNOMIFUNKTIO 3 TOISEN ASTEEN POLYNOMIFUNKTIO POHDITTAVAA 1. Kuvasta voidaan arvioida, että frisbeegolfkiekko käy noin 9 metrin korkeudella ja se lentää noin 40 metrin päähän. Vastaus: Frisbeegolfkiekko käy n. 9 m:n

Lisätiedot

Ohjelmointiharjoituksia Arduino-ympäristössä

Ohjelmointiharjoituksia Arduino-ympäristössä Ohjelmointiharjoituksia Arduino-ympäristössä Yleistä Arduino-sovelluksen rakenne Syntaksi ja käytännöt Esimerkki ohjelman rakenteesta Muuttujat ja tietotyypit Tietotyypit Esimerkkejä tietotyypeistä Ehtolauseet

Lisätiedot

Sisältö. Tilakaaviot 2

Sisältö. Tilakaaviot 2 Tilakaaviot Tilakaavioilla on tietojärjestelmien kuvauksessa monenlaisia käyttökohteita. Niitä voidaan käyttää toimintojen kuvaamisessa, käyttöliittymien hahmottelussa, järjestelmään kuuluvien käsitteiden

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla

Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla ohjelmoida useita komponenteiltaan ja rakenteeltaan

Lisätiedot

Yleinen arkkitehtuuri

Yleinen arkkitehtuuri TIES530 - Sulautettujen järjestelmien arkkitehtuurit Luento 2: Tietokonearkkitehtuurit Jukka Ihalainen, jukka.ihalainen@chydenius.fi Tietoliikennelaboratorio, http://rf.chydenius.fi Yleinen arkkitehtuuri

Lisätiedot

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori kevät 2004 TP02S-D Tietokoneen rakenne: Harjoitustyö Motorola MC68030 -prosessori Työn valvojat: Seppo Haltsonen Pasi Lankinen RAPORTTI 13.5.2004 Sisällysluettelo sivu Tiivistelmä... 1 Lohkokaavio... 2

Lisätiedot

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti

Perusteet. Pasi Sarolahti Aalto University School of Electrical Engineering. C-ohjelmointi Kevät Pasi Sarolahti C! Perusteet 19.1.2017 Palautteesta (1. kierros toistaiseksi) (Erittäin) helppoa Miksi vain puolet pisteistä? Vaikeinta oli ohjelmointiympäristön asennus ja käyttö Ei selvää että main funktion pitikin

Lisätiedot

Pituus- ja pinta-alayksiköt. m dm cm mm. km hm dam m. a) neljän pienen kohteen pituus millimetreiksi, senttimetreiksi ja desimetreiksi

Pituus- ja pinta-alayksiköt. m dm cm mm. km hm dam m. a) neljän pienen kohteen pituus millimetreiksi, senttimetreiksi ja desimetreiksi Pituus- ja pinta-alayksiköt 1 Pituusyksiköt Pituuden perusyksikkö on metri, ja se lyhennetään pienellä m-kirjaimella. Pienempiä ja suurempia pituusyksiköitä saadaan kertomalla tai jakamalla luvulla 10,

Lisätiedot