Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Koko: px
Aloita esitys sivulta:

Download "Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3"

Transkriptio

1 Digitaalitekniikka (piirit) Luku 8 Sivu (32) R C D SRG4 R C/ D CTRDIV6 R G2 2CT=5 G3 C/2,3 + CT 3

2 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Johdanto Tässä luvussa esitellään keskeiset salpoja ja kiikkuja mutkikkaammat yleiskäyttöiset sekvenssipiirielimet: rinnakkaisrekisterit, siirtorekisterit ja laskurit esitetään näiden piirielinten merkittävimpiä sovelluksia käydään läpi rinnakkaisrekisterityypit ja niiden ominaisuudet käydään läpi keskeiset siirtorekisterityypit ja niiden ominaisuudet esitetään tapoja synnyttää ajoituspulssisekvenssejä esitellään rinnakkais- ja sarjamuotoisen tiedonsiirron periaate käydään läpi keskeiset laskurityypit ja niiden ominaisuudet esitetään rinnakkaisrekisterin, siirtorekisterin ja laskurin VHDL-kuvaukset Luvun tavoitteena on saada näkemys keskeisistä sekvenssipiirielimistä ja niiden sovelluksista oppia suunnittelemaan ajoituspulssipiirejä ymmärtää rinnakkais- ja sarjamuotoisen tiedonsiirron periaate

3 Digitaalitekniikka (piirit) Luku 8 Sivu 3 (32) Rekisterit Rekisterit ovat kiikuista muodostettuja piirejä tallettavat kiikkumäärän mukaisen sanan tietoa esimerkki 8-bittisen rekisterin sisällöstä Rinnakkaisrekisterit useita erillisiä D-kiikkuja yhteinen kellosignaali ehkä yhteinen nollaussignaali Siirtorekisterit tieto siirtyy rekisterin bitistä seuraavaan kellopulssin aktiivisella reunalla Erillispiireinä saatavilla bittimäärä yleensä 4, 8 tai 6 Ohjelmoitavissa logiikkaverkoissa ja asiakaspiireissä rekisterit muodostetaan piirin sisäisistä kiikuista REG

4 Digitaalitekniikka (piirit) Luku 8 Sivu 4 (32) Rinnakkaisrekisteri Yksinkertaisin rekisterityyppi on rinnakkaisrekisteri Rinnakkaisrekisterissä on n kpl D-kiikkuja, joilla on yhteinen kellotulo ja ehkä nollaustulo Erillisissä rinnakkaisrekistereissä on 4, 6, 8,, 6 tai 8 kiikkua/paketti Esimerkki: 4-bittinen rinnakkaisrekisteri RES D D D2 D3 Piirrosmerkki R C D Q Q Q3 Toimintataulukko RES Qi X Di D RES D D2 D3 REG Piirikaavio D C R D C R D C R D C R Q Q Q3

5 Digitaalitekniikka (piirit) Luku 8 Sivu 5 (32) Toiminnan sallintatulolla varustettu rinnakkaisrekisteri? Lataus- eli sallintatulo LOAD LOAD = : rekisterin tila ei muutu LOAD = : normaali toiminta Esimerkki: 4-bittinen rekisteri Piirrosmerkki LOAD Di MUX G Periaate D C Qi LOAD G C2 Toimintataulukko D D D2 D3 2D Q Q Q3 LOAD Qi (t+) Qi (t) Di (t) REG

6 Digitaalitekniikka (piirit) Luku 8 Sivu 6 (32) Nollaus- ja toiminnan sallintatulolla varustetun rinnakkaisrekisterin VHDL-kuvaus REG ENTITY par_reg_resn_load_8 IS PORT( d: IN BIT_VECTOR ( TO 7); resn, load, clk: IN BIT; q: OUT BIT_VECTOR ( TO 7)); END par_reg_resn_load_8; ARCHITECTURE behavior OF par_reg_resn_load_8 IS BEGIN PROCESS (resn, clk) BEGIN IF resn = '' THEN q <= ""; ELSIF clk'event AND clk = '' THEN IF load = '' THEN q <= d; END IF; END IF; END PROCESS; END behavior; resn load clk d() d() d(2) d(3) d(4) d(5) d(6) d(7) R G C2 2D q() q() q(2) q(3) q(4) q(5) q(6) q(7)

7 Digitaalitekniikka (piirit) Luku 8 Sivu 7 (32) Rinnakkaisrekisterien käyttökohteet ASM:n tilarekisteri ASM:n tulo- ja lähtösignaalien tallennusrekisteri INA INB Tilarekisteri Tulosignaalien tallennusrekisteri G C2 2D C D ASM:n kombinaatiopiiri REG Lähtösignaalien tallennusrekisterit G C2 2D G C2 2D OUTA OUTB OUTC Tulo- tai lähtörekisteri mikroprosessorilla toteutetuissa laitteissa

8 Digitaalitekniikka (piirit) Luku 8 Sivu 8 (32) Siirtorekisterit Siirtorekisteri (shift register) koostuu D-kiikuista Ainakin ensimmäisen D-tulo SI ja viimeisen Q-lähtö SO on ulkoinen Kiikut ovat ketjussa: edellisen Q-lähtö on kytketty seuraavan D-tuloon Yhteinen kellosignaali SI D C Q D C Piirikaavio Q D D Q3 SO C C SI Q Q SO Aikakaavio SR

9 Digitaalitekniikka (piirit) Luku 8 Sivu 9 (32) Siirtorekisterityypit SISO ja SIPO SISO (serial in - serial out) 8 bittiä tuhansia bittejä keskeiset sovellukset viivepiiri SO on SI:n viivästetty versio viive riippuu bittimäärästä ja kellotaajuudesta sarjamuisti tallettaa kiikkuihin SI:n bitit SIPO (serial in - parallel out) erillisissä piireissä 4, 8 tai 6 bittiä keskeinen sovellus sarjarinnakkaismuunnos käytetään tiedonsiirrossa tarvitaan sananpituuden mukainen siirtorekisteri SI RES SI Piirrosmerkki SRG4 D C/ Piirrosmerkki SRG4 R C/ D SO SISO SIPO PO PO PO2 PO3 (SO)

10 Digitaalitekniikka (piirit) Luku 8 Sivu (32) Nollaustulolla varustetun SIPO-siirtorekisterin VHDLkuvaus ENTITY sipo_resn_4 IS PORT( si, resn, clk : IN BIT; po: OUT BIT_VECTOR ( TO 3)); END sipo_resn_4; SIPO ARCHITECTURE behavior OF sipo_resn_4 IS SIGNAL q: BIT_VECTOR ( TO 3); BEGIN PROCESS (resn, clk) BEGIN IF resn = '' THEN q <= ""; ELSIF clk'event AND clk = '' THEN q(3) <= q(2); q(2) <= q(); q() <= q(); q() <= si; END IF; END PROCESS; po <= q; END behavior; resn clk si SRG4 R C/ D po() po() po(2) po(3) Signaali q on sisäinen kiikkujen tilasignaali. Se tarvitaan, koska lähtösignaalin arvot eivät ole VHDL-kielessä tiedossa prosessin sisällä. Sijoitus po(3) <= po(2) jne. ei ole sallittu.

11 Digitaalitekniikka (piirit) Luku 8 Sivu (32) Siirtorekisterityypit PISO ja PIPO PISO (parallel in - serial out) 4, 8 tai 6 bittiä keskeinen sovellus rinnakkaissarjamuunnos RES SHIFT LOAD SI PI PI PI2 PI3 SRG4 R M M2 C3/,3D,2,3D,2,3D PISO SO PIPO (parallel in - parallel out) 4, 8 tai 6 bittiä korvaa minkä hyvänsä edellisen monipuolinen datan käsittely RES SHIFT LOAD SI PI PI PI2 PI3 SRG4 R M M2 C3/,3D,2,3D,2,3D PIPO PO PO PO2 PO3 (SO)

12 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Kaksisuuntainen siirtorekisteri Toimintataulukko Siirto eteenpäin eli oikealle eli alas Siirto taaksepäin eli vasemmalle eli ylös Usein myös pysäytys tai rinnakkaislataus Toteutus tulovalitsimilla S S Toiminta Ei muutosta Siirto eteenpäin Siirto taaksepäin Rinnakkaislataus S S PIi MUX G Fi - Fi Fi+ D C D C D C POi - POi POi+ SR

13 Digitaalitekniikka (piirit) Luku 8 Sivu 3 (32) Kaksisuuntainen siirtorekisteri, jatkoa Toimintatavan valinta S- ja S-tuloilla toimitapariippuvuus (M) piirrosmerkissä M = : ei toimintaa M = : siirto oikealle M = 2: siirto vasemmalle M = 3: lataus Erilliset sarjatulot ja lähdöt SIR, SOR oikealle siirtoon SIL, SOL vasemmalle siirtoon Rinnakkaistulot ja -lähdöt Käytetään aritmeettisissa piireissä: siirto oikealle = :2 siirto vasemmalle = x2 Toinen sovellusesimerkki: bittijärjestyksen kääntö RES S S SIR PI PI PI2 PI3 SIL SRG4 R M 3 C4/ /2,4D 3,4D 3,4D 3,4D 3,4D 2,4D Esittele PIPO-rekisteri SR PO(SOL) PO PO2 PO3 (SOR)

14 Digitaalitekniikka (piirit) Luku 8 Sivu 4 (32) Rinnakkais- ja sarjamuotoinen datasiirto? 2 Rinnakkaissiirto piirin ja laitteen sisäiseen siirtoon nopea lyhyillä etäisyyksillä yksinkertainen toteutus paljon johtimia (esim. tai 8) siirron ohjaus kättelyllä (handshake) Sarjasiirto laitteen sisäiseen ja laitteiden väliseen siirtoon hidas (uudet sarjaväylät nopeita) mutkikas toteutus vain muutama johdin siirron ohjaus osana datavuota Piiri Piiri DATA DATA DASS DACK Piiri Piiri 2 Laite Laite DATA2 DATA2 Laite Laite 2 PAR SER

15 Digitaalitekniikka (piirit) Luku 8 Sivu 5 (32) Ajoitussignaalien synnyttäminen Ajoitussignaaleja tarvitaan ajallisesti peräkkäisten toimintojen toteuttamisessa Ajoitussignaaleissa ei saa olla virhepulsseja ne on otettava suoraan kiikkujen lähdöistä Toteutus siirtorekisterillä tai ASM:na Esimerkki: neljä ajoitussignaalia: T T T2 T3

16 Digitaalitekniikka (piirit) Luku 8 Sivu 6 (32) Ajoitussignaalien synnyttäminen siirtorekisterillä? 3 Edullinen, jos signaalit ovat muuten samoja, mutta toisiinsa nähden siirtyneitä, kuten tässä esimerkissä Käytetään SIPO-siirtorekisteriä Aaltomuodosta saadaan ehto sarjatulon funktiolle SI SI =, kun T = T = T2 =, muulloin SI = saadaan siis SI = T T T2 = T + T + T2 SI SRG4 C/ D T T T2 T3 T T T2 T3

17 Digitaalitekniikka (piirit) Luku 8 Sivu 7 (32) Laskurit Laskuri (counter) laskee siihen tuotujen kellopulssien määrän määrä saadaan lähtösignaalien arvoina maksimiarvon jälkeen laskuri nollautuu laskurin tilojen määrä on sen laskentajakso (modulus) Yleisin laskuri on binaarilaskuri (binary counter) laskentajakso on kahden kokonainen potenssi n-bittisessä binaarilaskurissa on n kiikkua ja n lähtöä 4-bit 4-bit CTR CTR CTR laskentajakso on 2 n laskentasekvenssi on yleensä peräkkäiset binaariluvut, esim erillispiireinä on saatavilla 4-, 8-, 2- ja 4-bittisiä binaarilaskureita, joiden laskentajaksot ovat 6, 256, 496 ja 6384 Laskuri toimii myös taajuuden jakajana n-bittinen binaarilaskuri jakaa kellotaajuuden 2 n :llä pulssisuhde on : eli -osa ja -osa ovat yhtä pitkät Q Q Q3

18 Digitaalitekniikka (piirit) Luku 8 Sivu 8 (32) Dekadi- ja muut laskurit Dekadilaskuria (decade counter) käytetään, kun halutaan laskentajaksoksi kymmenen potenssi dekadilaskurissa on neljä kiikkua / dekadi käytännössä on saatavilla 4- ja 8-bittisiä dekadilaskureita laskentajaksot ovat ja DEC DEC CTR CTR laskentasekvenssi on yleensä peräkkäiset NBCD-luvut, esim bittinen dekadilaskuri jakaa kellotaajuuden kymmenellä jaettu taajuus ei ole symmetrinen eli pulssisuhde ei ole : vaan :4 Muut laskurit ja jakajat voidaan suunnitella ASM-menetelmällä laskuriin saadaan haluttu laskentajakso ja -sekvenssi jaettuun taajuuteen saadaan haluttu pulssisuhde CTR Q Q Q3

19 Digitaalitekniikka (piirit) Luku 8 Sivu 9 (32) Asynkroninen binaarilaskuri 4-bittisen binaarilaskurin aikakaavio: RCTR Q Q Q3 Muutos kellopulssin laskevalla reunalla Muutos Q:n laskevalla reunalla Muutos Q:n laskevalla reunalla Muutos :n laskevalla reunalla Laskuri saadaan laskevalla reunalla liipaistavista T-kiikuista käyttämällä kiikun kellona edellisen kiikun lähtöä ja kytkemällä T-tulo :een Voidaan käyttää myös JK-kiikkuja, joiden J- ja K-tulot on kytketty :een

20 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) 4-bittinen asynkroninen binaarilaskuri Piirikaavio: RCTR T T T T C C C C Q Q Q3 Ratkaisu on erittäin yksinkertainen Bittimäärää voidaan helposti lisätä Taaksepäin laskeva laskuri saadaan käyttämällä nousevalla reunalla liipaistavia T- tai JK-kiikkuja CLR Asynkroninen nollaustulo Piirrosmerkki RCTRDIV6 CT= + CT 3 tai RCTR4 Q Q Q3

21 Digitaalitekniikka (piirit) Luku 8 Sivu 2 (32) Asynkronisten laskureiden ominaisuuksia? 4 Viive-ero lähtöjen välillä RCTR mitä pitempi laskuri, sitä suurempi ero Pitkissä laskureissa suurin kellotaajuus alenee on odotettava, että kaikki lähdöt ovat varmasti muuttuneet koko järjestelmän toiminta voi hidastua Asynkronisten laskurien käyttöä on syytä välttää tai sitten on otettava heikkoudet huomioon suunnittelussa Taajuuden jakajana asynkroninen laskuri toimii hyvin pitkissä jakajissa (eli kun jakosuhde on suuri) yksinkertaisuudella on merkitystä ensimmäinen kiikku voi olla nopeampaa teknologiaa kuin muut edellytyksenä on, että jaettu taajuus saa olla alkuperäisestä viivästynyt

22 Digitaalitekniikka (piirit) Luku 8 Sivu 22 (32) Synkroniset laskurit ASM:eja: kaikkiin kiikkuihin sama kellopulssi Toteutus D-, T- tai JK-kiikuilla Binaari- ja dekadilaskureita Laskureissa on yleensä nollassa aktiivinen nollaustulo CLR osassa synkroninen (laskuri nollautuu seuraavan kellopulssin jälkeen) osassa asynkroninen (laskuri nollautuu heti) Osassa laskureista on lataustulo laskuriin voidaan ladata haluttu binaariluku käytetään, kun tehdään binaarilaskurista laskuri, jonka laskentajakso on muu kuin kahden potenssi Laskuri voi olla eteenpäin eli ylös laskeva, taaksepäin eli alas laskeva tai kaksisuuntainen CLR CTR Binaarilaskurin piirrosmerkki CTR4 CT= C/+ CT 3 Q Q Q3

23 Piirrosmerkki Digitaalitekniikka (piirit) Luku 8 Sivu 23 (32) 4-bittinen synkroninen binaarilaskuri Ketjutusta varten laskurissa on laskennan sallintatulot ENP ja ENT: laskuri laskee, kun ENP = ENT = laskuri täynnä -lähtö CO CLR ENT ENP CTRDIV6 CT= G2 2CT=5 G3 C/2,3+ CT 3 tai CTR4 CO Q Q Q3 * CO =, jos ENT = ja laskuri on täynnä Tila- ja lähtötaulu Lisä Tulot Tulot Nykyinen Nykyinen tila tila Seuraava Seuraava tila tilalähtö CLR CLRENP ENPENT ENTQ3 Q3 Q Q Q Q Q3 Q3 Q Q Q Q CO CO X X X X X X /* /* X Q3 Q3 Q QQ Q Q3 Q3 Q QQ Q/* /* X Q3 Q3 Q Q Q Q Q3 Q3 Q Q Q Q

24 Laskureiden ketjuttaminen Digitaalitekniikka (piirit) Luku 8 Sivu 24 (32) Kahdesta 4-bittisestä binaarilaskurista 8-bittinen binaarilaskuri Lisä CTR CLR EN CTRDIV6 CT= G2 2CT=5 G3 C/2,3+ CTRDIV6 CT= G2 2CT=5 G3 C/2,3+ CT 3 Q Q Q3 CT 3 Q4 Q5 Q6 Q7

25 Digitaalitekniikka (piirit) Luku 8 Sivu 25 (32) Kaksisuuntainen binaarilaskuri Laskenta eteenpäin: Laskenta taaksepäin: Valintatulo DIR laskentasuunnalle Toinen toteutusvaihtoehto: erilliset kellot laskennalle eteenpäin ja taaksepäin Toimintataulukko CLR DIR CTR Piirrosmerkki CTRDIV6 CT= M2 C/2+/2- tai CTR4 CLR DIR X Toiminta Nollaus Laskenta taakse Laskenta eteen CT 3 Q Q Q3

26 Ladattava binaarilaskuri Laskennan tai latauksen valintatulo LOAD LOAD = laskenta LOAD = lataus D-tulot laskurin kiikkuihin ladattaessa kiikkuihin tallettuu seuraavalla kellopulssilla D-tulojen lataushetkinen arvo CLR Toimintataulukko LOAD X Toiminta Digitaalitekniikka (piirit) Luku 8 Sivu 26 (32) Nollaus Laskenta eteen Lataus CLR LOAD CTR D D D2 D3 Piirrosmerkki CTRDIV6 2CT= M C2/+,2D [] [2] [4] [8] Esittele laskuri tai CTR4 Q Q Q3

27 Digitaalitekniikka (piirit) Luku 8 Sivu 27 (32) Nollaus- ja lataustulolla varustetun laskurin VHDL-kuvaus CTR ENTITY ctr_clrn_load_4 IS PORT( load, clrn, clk : IN BIT; d: IN INTEGER RANGE TO 5; q: OUT INTEGER RANGE TO 5); END ctr_clrn_load_4; ARCHITECTURE behav OF ctr_clrn_load_4 IS SIGNAL qi: INTEGER RANGE TO 5; BEGIN PROCESS (clk) BEGIN IF clk'event AND clk = '' THEN IF clrn = '' THEN qi <= ; ELSIF load = '' THEN qi <= d; ELSE qi <= qi + ; END IF; END IF; END PROCESS; q <= qi; END behav; clrn load clk d() d() d(2) d(3) CTRDIV6 2CT= M C2/+,2D [] [2] [4] [8] q() q() q(2) q(3) Signaali qi on sisäinen kiikkujen tilasignaali. Se tarvitaan, koska lähtösignaalin arvot eivät ole VHDLkielessä tiedossa prosessin sisällä. Sijoitus q <= q + ei ole sallittu.

28 Digitaalitekniikka (piirit) Luku 8 Sivu 28 (32) Dekadilaskurin toteutus ladattavalla binaarilaskurilla? 5 Laskentajakso Laskentasekvenssi NBCD-luvut: Vastaavasti voidaan toteuttaa muukin kuin dekadilaskuri Laskentasekvenssin alku määrätään ladattavalla luvulla Laskentasekvenssin loppu määrätään latausehdolla & LOAD Kun laskurissa on luku 9 (), ladataan CTRDIV6 M C2/+,2D [] [2] [4] [8] CTR Q Q Q3

29 Ladattava dekadilaskuri Laskentasekvenssi NBCD-luvut: Laskennan tai latauksen valintatulo LOAD LOAD = laskenta LOAD = lataus D-tulot laskurin kiikkuihin ladattaessa kiikkuihin tallettuu seuraavalla kellopulssilla D-tulojen lataushetkinen arvo CLR Toimintataulukko LOAD X Toiminta Digitaalitekniikka (piirit) Luku 8 Sivu 29 (32) Nollaus Laskenta eteen Lataus CLR LOAD CTR D D D2 D3 Piirrosmerkki CTRDIV 2CT= M C2/+,2D [] [2] [4] [8] Lisä Ero binaarilaskuriin Q Q Q3

30 Digitaalitekniikka (piirit) Luku 8 Sivu 3 (32) Muut synkroniset laskurit ja jakajat Edellä esitetyistä poikkeava laskentajakso tai laskentasekvenssi tai erityinen pulssisuhde Voidaan usein tehdä ladattavasta binaarilaskurista Joskus voidaan tehdä siirtorekisteristä rengaslaskuri: esim. 3-bittinen: n-bittisen rengaslaskurin laskentajakso on n Johnson-laskuri: esim. 3-bittinen: n-bittisen Johnson-laskurin laskentajakso on 2n Ellei edellä mainituilla tavoilla saada haluttua toimintaa suunnitellaan ASM:na toteutetaan esimerkiksi ohjelmoitavalla logiikkaverkolla Jos jää kiellettyjä tiloja, on varmistettava niistä poistuminen Rengaslaskurin periaate SRGn D C/ SRGn D C/ CTR SO Johnson-laskurin periaate SO

31 Digitaalitekniikka (piirit) Luku 8 Sivu 3 (32) Yhteenveto Rinnakkaisrekisteri koostuu useista useista D-kiikuista, joilla joilla on on yhteinen kellosignaali ja ja ehkä ehkäyhteinen nollaus- tai tai toiminnan sallintatulo Rinnakkaisrekistereitä käytetään ASM:n ASM:n tilarekistereinä sekä sekäsignaalien arvojen tallennukseen Siirtorekisteri koostuu peräkkäin kytketyistä D-kiikuista Siirtorekisterityypit ovat ovat SISO, SISO, SIPO, SIPO, PISO PISOja ja PIPO; PIPO; siirtorekisteri voi voi olla olla myös myös kaksisuuntainen Siirtorekistereitä käytetään mm. mm. sarjamuotoisessa datasiirrossa Ajoitussignaaleja voidaan usein usein synnyttää siirtorekistereillä Laskurissa sama sama tila tilatoistuu toistuu laskentajakson pituisin pituisin välein välein Laskuri Laskuri toimii toimii myöskin taajuuden jakajana Tärkeimmät laskurityypit ovat ovat binaarilaskuri ja ja dekadilaskuri; laskuri laskuri voi voi olla olla myös myös kaksisuuntainen ja ja ladattava Laskuri Laskuri voidaan toteuttaa joko joko asynkronisena tai tai synkronisena; synkronisia laskureita voidaan tarvittaessa ketjuttaa (lisäsisältöä) Rinnakkais- ja ja siirtorekisteri sekä sekälaskuri laskurivoidaan esittää esittäävhdl-kuvauksena

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R igitaalitekniikka (piirit) Luku 5 ivu (7).8.24 Fe/AKo C J C K C T C C J C K igitaalitekniikka (piirit) Luku 5 ivu 2 (7).8.24 Fe/AKo Johdanto Tässä luvussa esitetään salpapiirit, jotka ovat yksinkertaisimpia

Lisätiedot

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset Metropolia/AK. Mealyn koneessa on kolme tulosignaalia, joista yksi vaikuttaa pelkästään lähtösignaaleihin, yksi pelkästään koneen tilaan ja yksi

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Harjoitustehtävien ratkaisut

Harjoitustehtävien ratkaisut Sivu (22) 29.8.2 Fe/Ko Luku Sekvenssipiirit. Tutki luentokalvo- ja opetusmonisteessa esitettyä esimerkkiä synkronisesta sekvenssipiiristä. a) Montako tilaa piirissä on? Koska piirissä on kaksi tilasignaalia,

Lisätiedot

Esimerkkitentin ratkaisut ja arvostelu

Esimerkkitentin ratkaisut ja arvostelu Sivu (5) 2.2.2 Fe Seuraavassa on esitetty tenttitehtävien malliratkaisut ja tehtäväkohtainen arvostelu. Osassa tehtävistä on muitakin hyväksyttäviä ratkaisuja kuin malliratkaisu. 2 Tehtävät on esitetty

Lisätiedot

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri Digitaalitekniikka (piirit) Luku 4 Sivu (6).8.24 Fe/AKo Tilarekisteri Kombinaatiopiiri Digitaalitekniikka (piirit) Luku 4 Sivu 2 (6).8.24 Fe/AKo Johdanto Tässä luvussa todetaan esimerkin avulla kombinaatiopiirien

Lisätiedot

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2 Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

ELEC-C3240 Elektroniikka 2

ELEC-C3240 Elektroniikka 2 ELEC-C324 Elektroniikka 2 Marko Kosunen Marko.kosunen@aalto.fi Digitaalielektroniikka Tilakoneet Materiaali perustuu kurssiins-88. Digitaalitekniikan perusteet, laatinut Antti Ojapelto Luennon oppimistavoite

Lisätiedot

Oppikirjan harjoitustehtävien ratkaisuja

Oppikirjan harjoitustehtävien ratkaisuja Sivu (27) 26.2.2 e 7 Muistipiirit 7- Tietokoneen muistin koko on 256 K 6 b. Montako sanaa muistissa on? Mikä on sen sananpituus? Montako muistialkiota muistissa on? Muistissa on 256 kibisanaa eli 262 44

Lisätiedot

Synkronisten sekvenssipiirien suunnittelu

Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu (5) Synkronisten sekvenssipiirien suunnittelu.8.24 Fe/AKo Synkronisten sekvenssipiirien suunnittelu Digitaalitekniikka (piirit) Luku 6 Sivu 2 (5) Synkronisten sekvenssipiirien

Lisätiedot

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1 Digitaalitekniikan matematiikka Luku 6 Sivu () Kombinaatiopiirit.9. Fe J-EI- (NND) ja TI-EI- (NOR) -portit Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: NND? B B & B B = & B + B + B

Lisätiedot

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe DX G = G EN X/Y Digitaalitekniikan matematiikka Luku 8 Sivu ().9. Fe Johdanto Tässä luvussa esitetään keskeisiä kombinaatiopiirielimiä ne ovat perusporttipiirejä

Lisätiedot

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out Digitaalitekniikan matematiikka Luku ivu (2).9.2 Fe C = Aseta Aseta i i = n i > i i i Ei i < i i i Ei i i = Ei i i = i i -- On On On C in > < = CI CO C out -- = + (-) (-) = + = C + Digitaalitekniikan matematiikka

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

F = AB AC AB C C Tarkistus:

F = AB AC AB C C Tarkistus: Digitaalitekniikka I, tenttitehtäviä ratkaisuineen I 3..995 2. c) esitä seuraava funktio kanonisten summien tulona f(,,) = + Sovelletaan DeMorganin teoreemaa (työläs). Teoriaminimointia ei ole käytetty!

Lisätiedot

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;

Lisätiedot

Käytännön logiikkapiirit ja piirrosmerkit

Käytännön logiikkapiirit ja piirrosmerkit Digitaalitekniikan matematiikka Luku 7 Sivu (27) EN 2 EN X/Y X/Y 0 2 3 2 EN X/Y X/Y 0 2 3 Digitaalitekniikan matematiikka Luku 7 Sivu 2 (27) Johdanto Tässä luvussa esitellään käsitteet logiikkaperhe ja

Lisätiedot

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B igitaalitekniikan matematiikka Luku 6 Sivu (20).9.20 e 0 0 0 0 0 + 0 0 0 0 0 0 0 igitaalitekniikan matematiikka Luku 6 Sivu 2 (20).9.20 e Johdanto Tässä luvussa esitellään porttipiirityypit J-EI ja TI-EI

Lisätiedot

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33) Digitaalitekniikka (piirit) Luku 7 Sivu (33) Digitaalitekniikka (piirit) Luku 7 Sivu 2 (33) Johdanto Tässä luvussa esitellään laitteiston kuvauskielet ja niistä erityisesti VHDL esitetään VHDL-kuvauskielen

Lisätiedot

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1 Luku Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Digitaalitekniikan matematiikka Täsmätehtävät.9. Fe Opetuskerta Sivu Luku Opetuskerta Sivu Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista.

Lisätiedot

ELEC-C5070 Elektroniikkapaja (5 op)

ELEC-C5070 Elektroniikkapaja (5 op) (5 op) Luento 5 A/D- ja D/A-muunnokset ja niiden vaikutus signaaleihin Signaalin A/D-muunnos Analogia-digitaalimuunnin (A/D-muunnin) muuttaa analogisen signaalin digitaaliseen muotoon, joka voidaan lukea

Lisätiedot

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia

Elektroniikan laboratorio Lisätehtävät 17.9.2003. Mallivastauksia OULUN YLIOPISTO IGITLITEKNIIKK I Elektroniikan laboratorio Lisätehtävät 7.9. Mallivastauksia. Mitkä loogiset operaatiot oheiset kytkennät toteuttavat? Vihje: kytkin johtaa, kun ohjaava signaali =. Käytä

Lisätiedot

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista. Digitaalitekniikan matematiikka Luku Täsmätehtävä Tehtävä Yhden bitin tiedot Luettele esimerkkejä yhden bitin tiedoista. Ovi auki - ovi kiinni Virta kulkee - virta ei kulje Lamppu palaa - lamppu ei pala

Lisätiedot

Digitaalitekniikka (piirit) Opetusmoniste

Digitaalitekniikka (piirit) Opetusmoniste Sivu (35) 3.2.2 Fe Esko T. Rautanen Digitaalitekniikka (piirit) Sisällysluettelo Sivu Synkroniset sekvenssipiirit 2. Opettavainen tarina 2.2 Digitaalisten piirien ryhmittely 3.3 Synkronisen sekvenssipiirin

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt IGITLITEKNIIKK I 5 Tentti:.. ELEKTRONIIKN LORTORIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) b) minimoituna summien

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2012-2013 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Digitaalilaitteen signaalit

Digitaalilaitteen signaalit Digitaalitekniikan matematiikka Luku 3 Sivu 3 (9) Digitaalilaitteen signaalit Digitaalilaitteeseen tai -piiriin tulee ja siitä lähtee digitaalisia signaaleita yksittäisen signaalin arvo on kunakin hetkenä

Lisätiedot

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen rakentamisessa? 2013-2014 Lasse Lensu 2 Transistori yhdessä

Lisätiedot

Digitaalitekniikan matematiikka Harjoitustehtäviä

Digitaalitekniikan matematiikka Harjoitustehtäviä arjoitustehtäviä Sivu 6 6.3.2 e arjoitustehtäviä uku 3 ytkentäfunktiot ja perusporttipiirit 3. äytäväkytkin on järjestelmä jossa käytävän kummassakin päässä on kytkin ja käytävän keskellä lamppu. amppu

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit Digitaalitekniikan matematiikka Luku 3 Sivu (9) && Digitaalitekniikan matematiikka Luku 3 Sivu 2 (9) Johdanto Tässä luvussa esitetään digitaalilaitteen signaalit ja digitaalipiirien perustyypit esitellään

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Signaalien datamuunnokset. Näytteenotto ja pito -piirit

Signaalien datamuunnokset. Näytteenotto ja pito -piirit Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 26/02/2008 Signaalien datamuunnokset 1 Näytteenotto ja

Lisätiedot

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24) Digitaalitekniikka (piirit) Luku 20 Sivu 2 (24) Johdanto Tässä luvussa esitetään keskeiset muistipiirityypit ja muistipiireihin liittyvät käsitteet mainitaan

Lisätiedot

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä? Miksi moniprosessorijärjestelmä? Laskentaa voidaan hajauttaa useammille prosessoreille nopeuden, modulaarisuuden ja luotettavuuden vaatimuksesta tai hajauttaminen voi helpottaa ohjelmointia. Voi olla järkevää

Lisätiedot

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15)

Luku- ja merkkikoodit. Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) Digitaalitekniikan matematiikka Luku 12 Sivu 1 (15) A = a = i i w i Digitaalitekniikan matematiikka Luku 12 Sivu 2 (15) Johdanto Tässä luvussa esitetään kymmenjärjestelmän lukujen eli BCD-lukujen esitystapoja

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

A/D-muuntimia. Flash ADC

A/D-muuntimia. Flash ADC A/D-muuntimia A/D-muuntimen valintakriteerit: - bittien lukumäärä instrumentointi 6 16 audio/video/kommunikointi/ym. 16 18 erikoissovellukset 20 22 - Tarvittava nopeus hidas > 100 μs (

Lisätiedot

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen Flash AD-muunnin Koostuu vastusverkosta ja komparaattoreista. Komparaattorit vertailevat vastuksien jännitteitä referenssiin. Tilanteesta riippuen kompraattori antaa ykkösen tai nollan ja näistä kootaan

Lisätiedot

Ohjelmoitavat logiikkaverkot

Ohjelmoitavat logiikkaverkot Digitaalitekniikka (piirit) Luku 9 Sivu (3) Ohjelmoitavat logiikkaverkot.8.24 Fe/AKo Ohjelmoitavat logiikkaverkot Ohjelmoitavat logiikkaverkot Programmable logic logic PLD-piirit Programmable logic logic

Lisätiedot

Successive approximation AD-muunnin

Successive approximation AD-muunnin AD-muunnin Koostuu neljästä osasta: näytteenotto- ja pitopiiristä, (sample and hold S/H) komparaattorista, digitaali-analogiamuuntimesta (DAC) ja siirtorekisteristä. (successive approximation register

Lisätiedot

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068 Elektroniikkajärjestelmät ETT_2068 tentti 1) Oheisessa sekvenssilogiikassa tiloille on jo annettu bittivaste 000, 001 jne. Tehtävänäsi on nyt konstruoda sekvenssilogiikka vaihe vaiheelta standarditavalla.

Lisätiedot

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE Joel Junttila Ohjaaja: Jukka Lahti SÄHKÖTEKNIIKAN KOULUTUSOHJELMA 2016 Junttila J. (2016) I2S-väylän toteutus FPGA-piirille. Oulun yliopisto, sähkötekniikan koulutusohjelma.

Lisätiedot

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä ELE-324 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä Materiaalia otettu myös: https://www.allaboutcircuits.com/textbook/digital/chpt-8/introduction-to-karnaughmapping/

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

Kombinatorisen logiikan laitteet

Kombinatorisen logiikan laitteet Kombinatorisen logiikan laitteet Kombinatorinen logiikka tarkoittaa logiikkaa, jossa signaali kulkee suoraan sisääntuloista ulostuloon Sekventiaalisessa logiikassa myös aiemmat syötteet vaikuttavat ulostuloon

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio IGITLITEKNIIKK I 5 Tentti:.. ntti Mäntyniemi ELEKTONIIKN LOTOIO Henkilötunnus - KT Σ. Kaksituloisen multiplekserin toimintaa kuvaa looginen funktio = +. Esitä a) :n toiminta K-kartalla (,5 p) ykkösten

Lisätiedot

Signaalien datamuunnokset

Signaalien datamuunnokset Signaalien datamuunnokset Muunnoskomponentit Näytteenotto ja pitopiirit Multiplekserit A/D-muuntimet Jännitereferenssit D/A-muuntimet Petri Kärhä 17/02/2005 Luento 4b: Signaalien datamuunnokset 1 Näytteenotto

Lisätiedot

A / D - MUUNTIMET. 2 Bittimäärä 1. tai. A / D muunnin, A/D converter, ADC, ( Analog to Digital Converter )

A / D - MUUNTIMET. 2 Bittimäärä 1. tai. A / D muunnin, A/D converter, ADC, ( Analog to Digital Converter ) A / D - MUUNTIMET A / D muunnin, A/D converter, ADC, ( Analog to Digital Converter ) H. Honkanen Muuntaa analogisen tiedon ( yleensä jännite ) digitaalimuotoon. Lähtevä data voi olla sarja- tai rinnakkaismuotoista.

Lisätiedot

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä Digitaalitekniikka (piirit) Joitakin vinkkejä harjoitustyö 2:n aihesiin Metropolia / AKo Sille ei voi mitään, että jotkut harjoitustyöaiheet ovat vaikeammin lähestyttäviä kuin jotkut muut. Siltä varalta,

Lisätiedot

LUKUJA, DATAA KÄSITTELEVÄT FUNKTIOT JA NIIDEN KÄYTTÖ LOGIIKKAOHJAUKSESSA

LUKUJA, DATAA KÄSITTELEVÄT FUNKTIOT JA NIIDEN KÄYTTÖ LOGIIKKAOHJAUKSESSA LUKUJA, DATAA KÄSITTELEVÄT FUNKTIOT JA NIIDEN KÄYTTÖ LOGIIKKAOHJAUKSESSA Tavallisimmin lukuja käsittelevien datasanojen tyypiksi kannattaa asettaa kokonaisluku 16 bitin INT, jonka vaihtelualueeksi tulee

Lisätiedot

V H D L. Very high speed integrated circuits Hardware Description Language

V H D L. Very high speed integrated circuits Hardware Description Language V H D L H. Honkanen Very high speed integrated circuits Hardware Description Language Allaolevassa kuvassa on esitetty FGPA tai ASIC piirin suunnittelun vuokaavio. Kuva: FPGA piirin suunnittelu Tästä kokonaisuudesta

Lisätiedot

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE

SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE SPI-VÄYLÄN TOTEUTUS FPGA-PIIRILLE Lauri Similä Ohjaaja: Jukka Lahti ELEKTRONIIKAN JA TIETOLIIKENNETEKNIIKAN TUTKINTO-OHJELMA 2018 2 Similä L. (2018) SPI-väylän toteutus FPGA-piirille. Oulun yliopisto,

Lisätiedot

ANSI/IEEE Std

ANSI/IEEE Std Digitaalitekniikan matematiikka Luku 9 Sivu 1 (26) Lukujärjestelmät ja lukujen esittäminen ANSI/IEEE Std 754-2008 0 1 0 1 1 0 0 0 B = Σ B i 2 i Digitaalitekniikan matematiikka Luku 9 Sivu 2 (26) Johdanto

Lisätiedot

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus

Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Virheen havaitseminen ja korjaus Digitaalitekniikan matematiikka Luku 13 Sivu 1 (10) Digitaalitekniikan matematiikka Luku 13 Sivu 2 (10) Johdanto Tässä luvussa esitetään virheen havaitsevien ja korjaavien koodaustapojen perusteet ja käyttösovelluksia

Lisätiedot

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten, että se pystyy suorittamaan kaikki mahdolliset algoritmit?

Lisätiedot

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori

Tietokoneen rakenne: Harjoitustyö. Motorola MC68030 -prosessori kevät 2004 TP02S-D Tietokoneen rakenne: Harjoitustyö Motorola MC68030 -prosessori Työn valvojat: Seppo Haltsonen Pasi Lankinen RAPORTTI 13.5.2004 Sisällysluettelo sivu Tiivistelmä... 1 Lohkokaavio... 2

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

SISÄLLYS - DIGITAALITEKNIIKKA

SISÄLLYS - DIGITAALITEKNIIKKA SISÄLLYS - DIGITAALITEKNIIKKA Digitaalitekniikan perusteita...2 Bitti (bit)...2 Tavu (bytes)...2 Sana (word)...2 Yksiköt...2 Binääri järjestelmän laskutapa...2 Esimerkki: Digikuvan siirron kestoaika...2

Lisätiedot

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Pasi Vähämartti ITSEOPISKELU 1(10) CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet Tutki data-kirjasta XC9500-sarjan CPLD piirin: 1. Arkkitehtuuri 2. Suurimman ja pienimmän piirin portti-, pinni- ja

Lisätiedot

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen Laitteiden sisäiseen tietoliikenteeseen on kehitetty omat dataväylänsä. Näistä tärkeimmät: 1 wire [ käyttää mm. Dallas, Maxim. ] I²C [ Trademark

Lisätiedot

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto

Kojemeteorologia. Sami Haapanala syksy 2013. Fysiikan laitos, Ilmakehätieteiden osasto Kojemeteorologia Sami Haapanala syksy 2013 Fysiikan laitos, Ilmakehätieteiden osasto Datan käsittely ja tallentaminen Käytännössä kaikkien mittalaitteiden ensisijainen signaali on analoginen Jotta tämä

Lisätiedot

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit BL4A17x Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit Ohjelmoitavat logiikkapiirit (PLD, Programmable Logic Device) PLD (Programmable Logic Device) on yleinen nimitys integroidulle piirille,

Lisätiedot

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet SISÄLLYS 1 2 3 4 Tietokoneen toimintaperiaate ja käyttö 14 1.1 Mikä tietokone on? 14 1.2 Tieteen ja toimiston koneista yleistietokoneeseen 15 1.3 Mekaanisista ja sähköisistä laitteista sulautettuihin tietokoneisiin

Lisätiedot

Digitaalitekniikan perusteet

Digitaalitekniikan perusteet HAMK Riihimäki Versio 1.0 Väinö Suhonen Digitaalitekniikan perusteet Loogiset funktiot ja portit Kombinaatiologiikan elimiä Rekisterilogiikan perusteet Rekisteri- ja sekvenssilogiikan elimiä ena up/ down

Lisätiedot

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk. Tentti La 20.01.2001 / Matti Ilmonen / Vastaukset kysymyspapereille. Nirni: Nimen selvennys : 1 2 3 4 5 z -.. 0pisk.no: ARVOSANA 1. Selvita lyhyesti seuraavat kiitteet ( kohdat a... j ) a) Kokosummain?

Lisätiedot

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi.

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Lämpötilahälytin Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi. Laite koostuu Arduinokortista ja koekytkentälevystä. Hälyttimen toiminnat ohjelmoidaan Arduinolle.

Lisätiedot

Kappale 20: Kantaluvut

Kappale 20: Kantaluvut Kappale 20: Kantaluvut 20 Johdanto: Kantaluvut... 328 Kantalukujen syöttäminen ja muuntaminen... 329 Matemaattiset toiminnot Hex- ja Bin-luvuilla... 330 Bittien vertaileminen ja manipulointi... 331 Huom!

Lisätiedot

PM10OUT2A-kortti. Ohje

PM10OUT2A-kortti. Ohje PM10OUT2A-kortti Ohje Dokumentin ID 6903 V3 13.4.2015 Sisällysluettelo Sisällysluettelo... 2 Esittely... 3 Kortti ja rekisterit... 3 Lähtöviestit... 4 Signaalien kytkeminen... 4 Käyttö... 4 Asetusten tekeminen...

Lisätiedot

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe

Lukujärjestelmät. Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen Fe Digitaalitekniikan matematiikka Luku 9 Sivu 3 (26) Lukujärjestelmät ja lukujen esittäminen.9.2 Fe Lukujärjestelmät Kymmen- eli desimaalijärjestelmä: kantaluku perinteisesti käytetty ja tuttu numerot,,

Lisätiedot

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100

LOAD R1, =2 Sijoitetaan rekisteriin R1 arvo 2. LOAD R1, 100 Tiedonsiirtokäskyt LOAD LOAD-käsky toimii jälkimmäisestä operandista ensimmäiseen. Ensimmäisen operandin pitää olla rekisteri, toinen voi olla rekisteri, vakio tai muistiosoite (myös muuttujat ovat muistiosoitteita).

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Mikrotietokone Moderni tietokone Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena Sen käyttötarkoitus on yleensä työnteko, kissavideoiden katselu internetistä tai pelien pelaaminen. Tietokoneen

Lisätiedot

Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) Lukujärjestelmämuunnokset. 2 s s

Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) Lukujärjestelmämuunnokset. 2 s s Digitaalitekniikan matematiikka Luku 10 Sivu 1 (14) k 10 2 10 2 s 10 10 8 10 16 10 2 10 2 s 2 8 8 2 2 16 16 2 Digitaalitekniikan matematiikka Luku 10 Sivu 2 (14) Johdanto Tässä luvussa perustellaan, miksi

Lisätiedot

Virheen kasautumislaki

Virheen kasautumislaki Virheen kasautumislaki Yleensä tutkittava suure f saadaan välillisesti mitattavista parametreistä. Tällöin kokonaisvirhe f määräytyy mitattujen parametrien virheiden perusteella virheen kasautumislain

Lisätiedot

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op Assembly ja konekieli Tietokoneen ja ohjelmiston rakenne Loogisilla piireillä ja komponenteilla rakennetaan prosessori ja muistit Prosessorin rakenne

Lisätiedot

Lukittuminen. Suljettu silmukka

Lukittuminen. Suljettu silmukka Lukittuminen Suljettu silmukka Lähtien tilanteesta, jossa > ja ( ) =0. Hetken ajan se tuottaa silmukkasuodattimen ulostuloon positiivisen jännitteen v olp, joka kasvattaa oskillaattorin lähtötaajuutta

Lisätiedot

Tietokoneen muisti nyt ja tulevaisuudessa. Ryhmä: Mikko Haavisto Ilari Pihlajisto Marko Vesala Joona Hasu

Tietokoneen muisti nyt ja tulevaisuudessa. Ryhmä: Mikko Haavisto Ilari Pihlajisto Marko Vesala Joona Hasu Tietokoneen muisti nyt ja tulevaisuudessa Ryhmä: Mikko Haavisto Ilari Pihlajisto Marko Vesala Joona Hasu Yleisesti Muisti on yksi keskeisimmistä tietokoneen komponenteista Random Access Memory on yleistynyt

Lisätiedot

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012

OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 OHJ-1010 Tietotekniikan perusteet 4 op Syksy 2012 Luento 6: Tiedon esittäminen tietokoneessa, osa 1 Tekijät: Antti Virtanen, Timo Lehtonen, Matti Kujala, Kirsti Ala-Mutka, Petri M. Gerdt et al. Luennon

Lisätiedot

Muuntavat analogisen signaalin digitaaliseksi Vertaa sisääntulevaa signaalia referenssijännitteeseen Sarja- tai rinnakkaismuotoinen Tyypilliset

Muuntavat analogisen signaalin digitaaliseksi Vertaa sisääntulevaa signaalia referenssijännitteeseen Sarja- tai rinnakkaismuotoinen Tyypilliset Muuntavat analogisen signaalin digitaaliseksi Vertaa sisääntulevaa signaalia referenssijännitteeseen Sarja- tai rinnakkaismuotoinen Tyypilliset valintakriteerit resoluutio ja nopeus Yleisimmät A/D-muunnintyypit:

Lisätiedot

Jaetun muistin muuntaminen viestin välitykseksi. 15. lokakuuta 2007

Jaetun muistin muuntaminen viestin välitykseksi. 15. lokakuuta 2007 Jaetun muistin muuntaminen viestin välitykseksi Otto Räsänen 15. lokakuuta 2007 1 Motivaatio 2 Valtuuden välitys Peruskäsitteitä 3 Kolme algoritmia Valtuuden välitys käyttäen laskuria ilman ylärajaa Valtuuden

Lisätiedot

Standardiliitännät. Tämä ja OSI 7LHWROLLNHQQHWHNQLLNDQSHUXVWHHW $(/&7 0DUNXV3HXKNXUL

Standardiliitännät. Tämä ja OSI 7LHWROLLNHQQHWHNQLLNDQSHUXVWHHW $(/&7 0DUNXV3HXKNXUL Standardiliitännät 7LHWROLLNHQQHWHNQLLNDQSHUXVWHHW $(/&7 0DUNXV3HXKNXUL Tämä ja OSI Liitännät toiminnalliset ominaisuudet sähköiset ominaisuudet X.25 Kehysvälitys 7 sovellus 6 esitystapa 5 yhteysjakso

Lisätiedot

FC6 - <offline> "Palloilla_painot_optim" Perusversio: Pallojen lajittelu ja vierintäpintojen tyhjäys lajeittain.

FC6 - <offline> Palloilla_painot_optim Perusversio: Pallojen lajittelu ja vierintäpintojen tyhjäys lajeittain. IMATIC FC6 21.2.2007 13:18:52 FC6 - alloilla_painot_optim erusversio: allojen lajittelu ja vierintäpintojen tyhjäys lajeittain. Name: Family: Author: Version: 0.1 Block version: 2 Time stamp

Lisätiedot

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3

T clk > t DFF + t critical + t setup -> T clk > 3 ns + (2+2) ns + 2 ns > 9 ns -> F clk < MHz. t DFF t critical t setup CLK NA1 CLK2,CLK3 Q2,D3 . a) Kriittisen polun mukaan (DFF - DFF): (DFF = D Flip-Flop = D-kiikku) T clk > t DFF t critical t setup -> T clk > ns () ns ns > 9 ns -> F clk

Lisätiedot

Väylät. Prosessorin tie ulkomaailmaan Pienissä järjestelmissä vain yksi väylä. Osoite, data ja ohjaussignaalit Prosessori ainoa herra (master)

Väylät. Prosessorin tie ulkomaailmaan Pienissä järjestelmissä vain yksi väylä. Osoite, data ja ohjaussignaalit Prosessori ainoa herra (master) Prosessorin tie ulkomaailmaan Pienissä järjestelmissä vain yksi väylä Prosessoriväylä Osoite, data ja ohjaussignaalit Prosessori ainoa herra (master) Suuremmissa erillisiä väyliä Muistiväylä Oheislaiteväylät

Lisätiedot

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

1. Yleistä. 2. Ominaisuudet. 3. Liitännät 1. Yleistä SerIO on mittaus ja ohjaustehtäviin tarkoitettu prosessorikortti. Se voi ohjemistosta riippuen toimia itsenäisenä yksikkönä tai tietokoneen ohjaamana. Jälkimmäisessä tapauksessa mittaus ja ohjauskomennot

Lisätiedot

Signaalien generointi

Signaalien generointi Signaalinkäsittelyssä joudutaan usein generoimaan erilaisia signaaleja keinotekoisesti. Tyypillisimpiä generoitavia aaltomuotoja ovat eritaajuiset sinimuotoiset signaalit (modulointi) sekä normaalijakautunut

Lisätiedot

Mikrokontrollerit. Mikrokontrolleri

Mikrokontrollerit. Mikrokontrolleri Mikrokontrollerit S-108.2010 Elektroniset mittaukset 18.2.2008 Mikrokontrolleri integrointi säästää tilaa piirilevyllä usein ratkaisu helpompi ja nopeampi toteuttaa ohjelmallisesti prosessori 4-64 bittinen

Lisätiedot

Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla

Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla Ongelma(t): Miten mikro-ohjelmoitavaa tietokonetta voisi ohjelmoida kirjoittamatta binääristä (mikro)koodia? Voisiko samalla algoritmin esitystavalla ohjelmoida useita komponenteiltaan ja rakenteeltaan

Lisätiedot

EMC Säteilevä häiriö

EMC Säteilevä häiriö EMC Säteilevä häiriö Kaksi päätyyppiä: Eromuotoinen johdinsilmukka (yleensä piirilevyllä) silmulla toimii antennina => säteilevä magneettikenttä Yhteismuotoinen ei-toivottuja jännitehäviöitä kytkennässä

Lisätiedot

AU Automaatiotekniikka. Toimilohko FB

AU Automaatiotekniikka. Toimilohko FB AU080401 Automaatiotekniikka Toimilohko FB Tarkoitus Dokumentissa kuvataan, mikä on toimilohko (FB) miten toimilohko muodostetaan ja miten sitä sovelletaan S7 ohjelmointiympäristössä (STEP7) mitä etua

Lisätiedot

Opas toimilohko-ohjelmointiin

Opas toimilohko-ohjelmointiin Opas toimilohko-ohjelmointiin Automaation tietotekniikka 2011 15. elokuuta 2011 Dokumentin versio Versio Pvm Muutokset Muuttaja 0.1 8.11.2010 Ensimmäinen versio Miika-Petteri Matikainen 0.1.1 12.11.2010

Lisätiedot

Esipuhe. Simulaatio-ohjelma ja kirjassa mainitut simulaatiotiedostot sekä muu sähköinen materiaali on ladattavissa: www.timohaiko.fi.

Esipuhe. Simulaatio-ohjelma ja kirjassa mainitut simulaatiotiedostot sekä muu sähköinen materiaali on ladattavissa: www.timohaiko.fi. Esipuhe Elektroniikka on tekniikan ala, jota sovelletaan nykyään lähes kaikkialla. Aluksi elektroniikka oli analogista ja sittemmin se täydentyi digitaalielektroniikalla. Tämä kirja kertoo digitaalielektroniikan

Lisätiedot

MUISTIPIIRIT H. Honkanen

MUISTIPIIRIT H. Honkanen MUISTIPIIRIT H. Honkanen Puolijohdemuistit voidaan jaotella käyttötarkoituksensa mukaisesti: Puolijohdemuistit Luku- ja kirjoitusmuistit RAM, Random Access Memory - Käytetään ohjelman suorituksen aikaisen

Lisätiedot

Flash AD-muunnin. suurin kaistanleveys muista muuntimista (gigahertsejä) pieni resoluutio (max 8) kalliita

Flash AD-muunnin. suurin kaistanleveys muista muuntimista (gigahertsejä) pieni resoluutio (max 8) kalliita Flash AD-muunnin Flash AD-muunnin koostuu monesta peräkkäisestä komparaattorista, joista jokainen vertaa muunnettavaa signaalia omaan referenssijännitteeseensä. Referenssijännite aikaansaadaan jännitteenjaolla:

Lisätiedot