Sekvenssipiirin tilat. Synkroninen sekvenssipiiri ? 1 ? 2

Samankaltaiset tiedostot
Sekvenssipiirin tilat

Harjoitustehtävien ratkaisut

Esimerkkitentin ratkaisut ja arvostelu

Synkronisten sekvenssipiirien suunnittelu

ELEC-C3240 Elektroniikka 2

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

Digitaalitekniikka (piirit) Luku 15 Sivu 1 (17) Salvat ja kiikut 1D C1 C1 1T 1J C1 1K S R

Digitaalitekniikka (piirit) Luku 14 Sivu 1 (16) Sekvenssipiirit. Kombinaatiopiiri. Tilarekisteri

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

Oppikirjan harjoitustehtävien ratkaisuja

Muistipiirit. Digitaalitekniikka (piirit) Luku 20 Sivu 1 (24)

Digitaalitekniikka (piirit) Luku 18 Sivu 1 (32) Rekisterit ja laskurit R C1 SRG4 R C1/ CTRDIV16 1R G2 2CT=15 G3 C1/2,3 + CT 3

Digitaalitekniikka (piirit) Opetusmoniste

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

Ohjelmoitavat logiikkaverkot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

Digitaalitekniikan matematiikka Luku 6 Sivu 1 (20) Kombinaatiopiirit & & A B A + B

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Digitaalilaitteen signaalit

Yhden bitin tiedot. Binaariluvun arvon laskeminen. Koodin bittimäärä ja vaihtoehdot ? 1

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

Peruspiirejä yhdistelemällä saadaan seuraavat uudet porttipiirit: JA-EI-portti A B. TAI-EI-portti A B = 1

Digitaalitekniikan matematiikka Luku 8 Sivu 1 (23) Kombinaatiopiirielimet MUX X/Y 2 EN

Verilogvs. VHDL. Janne Koljonen University of Vaasa

SISÄLLYS sisällys 1 Tietokoneen toimintaperiaate ja käyttö 2 Tietokoneen historia 3 Tietokoneen rakenteen ja toiminnan perusteet

Digitaalitekniikan matematiikka Harjoitustehtäviä

Yhden bitin tiedot. Digitaalitekniikan matematiikka Luku 1 Täsmätehtävä Tehtävä 1. Luettele esimerkkejä yhden bitin tiedoista.

ELEC-C3240 Elektroniikka 2 Digitaalielektroniikka Karnaugh n kartat ja esimerkkejä digitaalipiireistä

F = AB AC AB C C Tarkistus:

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

Elektroniikan laboratorio Lisätehtävät Mallivastauksia

Käytännön logiikkapiirit ja piirrosmerkit

21~--~--~r--1~~--~--~~r--1~

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

2_1----~--~r--1.~--~--~--,.~~

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

Ongelma(t): Miten tietokoneen komponentteja voi ohjata siten, että ne tekevät yhdessä jotakin järkevää? Voiko tietokonetta ohjata (ohjelmoida) siten,

Ongelma(t): Mistä loogisista lausekkeista ja niitä käytännössä toteuttavista loogisista piireistä olisi hyötyä tietojenkäsittelyssä ja tietokoneen

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

CLPD ja FPGA piirien arkkitehtuuri ja ominaisuudet

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

Tietokonetekniikka Edita, Seppo Haltsonen, Esko T. Rautanen

Ohjelmoitava päävahvistin WWK-951. Anvia TV Oy Rengastie Seinäjoki

1. Yleistä. 2. Ominaisuudet. 3. Liitännät

Ohjelmoitava päävahvistin WWK-951LTE

Digitaalitekniikan perusteet

Taitaja2004/Elektroniikka Semifinaali

Flash AD-muunnin. Ominaisuudet. +nopea -> voidaan käyttää korkeataajuuksisen signaalin muuntamiseen (GHz) +yksinkertainen

Sähkötekniikan perusteet

JOHDATUS ELEKTRONIIKKAAN. Oppitunti 2 Elektroniikan järjestelmät

13. Loogiset operaatiot 13.1

AU Automaatiotekniikka. Toimilohko FB

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa

Taitaja semifinaali 2010, Iisalmi Jääkaapin ovihälytin

Tällä ohjelmoitavalla laitteella saat hälytyksen, mikäli lämpötila nousee liian korkeaksi.

Ohjausjärjestelmien jatkokurssi. Visual Basic vinkkejä ohjelmointiin

AU Automaatiotekniikka. Funktio FC

Taitaja2008, Elektroniikkalajin semifinaali

Digitaalitekniikan matematiikka Luku 5 Sivu 1 (22) Lausekkeiden sieventäminen F C F = B + A C. Espresso F = A (A + B) = A A + A B = A B

GSM OHJAIN FF KÄYTTÖOHJE PLC MAX S03

A/D-muuntimia. Flash ADC

Opas toimilohko-ohjelmointiin

Liikennevalot. Arduino toimii laitteen aivoina. Arduinokortti on kuin pieni tietokone, johon voit ohjelmoida toimintoja.

Ohjelmointiharjoituksia Arduino-ympäristössä

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

C = P Q S = P Q + P Q = P Q. Laskutoimitukset binaariluvuilla P -- Q = P + (-Q) (-Q) P Q C in. C out

TIEP114 Tietokoneen rakenne ja arkkitehtuuri, 3 op. Assembly ja konekieli

Piirien väliset ohjaus- ja tiedonsiirtoväylät H. Honkanen

TIES530 TIES530. Moniprosessorijärjestelmät. Moniprosessorijärjestelmät. Miksi moniprosessorijärjestelmä?

Ulkoiset mediakortit. Asiakirjan osanumero: Tässä oppaassa kerrotaan ulkoisten tallennusvälinekorttien käytöstä.

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

TAITAJA 2007 ELEKTRONIIKKAFINAALI KILPAILIJAN TEHTÄVÄT. Kilpailijan nimi / Nro:

2) Aliohjelma, jonka toiminta perustuu sivuvaikutuksiin: aliohjelma muuttaa parametrejaan tai globaaleja muuttujia, tulostaa jotakin jne.

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje

Successive approximation AD-muunnin

Tietokone. Tietokone ja ylläpito. Tietokone. Tietokone. Tietokone. Tietokone

Näppäimistö CT Käyttäjäopas. Global Safety & Security Solutions Oy info@globalsafety.fi. CT1000v.5

I2S-VÄYLÄLIITYNNÄN TOTEUTUS FPGA- PIIRILLE. Joel Junttila. Ohjaaja: Jukka Lahti

Digitaalitekniikka (piirit) Metropolia / AKo Joitakin vinkkejä harjoitustyö 2:n aihesiin Aihe Tuloja Lähtöjä Sitten vinkkejä

Ohjelmoitava yhteisantennijärjestelmien antennivahvistin WWK-9NG. AnviaTV Oy Yrittäjäntie 15, 6010 Seinäjoki,

MUISTIPIIRIT H. Honkanen

Sisällys. 1. Omat operaatiot. Yleistä operaatioista. Yleistä operaatioista

Toiminnallinen määrittely versio 1.2

MultiPlus-II 48/3000/ V (aiempi tuotenimi: MultiGrid-II)

1. Omat operaatiot 1.1

LUMECOM. Jyrsinsovellus. 1. Asennusohje 2. Käyttöohje 3. Käyttöönottokortti

Muuttujatyypit ovat Boolean, Byte, Integer, Long, Double, Currency, Date, Object, String, Variant (oletus)

Ajattelemme tietokonetta yleensä läppärinä tai pöytäkoneena

815338A Ohjelmointikielten periaatteet Harjoitus 3 vastaukset

ADA. Ohjelmointikieli. Ryhmä 5 Henna Olli, Päivi Hietanen

Alkuarvot ja tyyppimuunnokset (1/5) Alkuarvot ja tyyppimuunnokset (2/5) Alkuarvot ja tyyppimuunnokset (3/5)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

TiiMi Talotekniikka. LATTIALÄMMITYS- TERMOSTAATTI TiiMi 7250TL. v. 1.0

Etälukija PR Käyttäjäopas. Global Safety & Security Solutions Oy info@globalsafety.fi. PR1000v.2

13. Loogiset operaatiot 13.1

Transkriptio:

Luku igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Opetuskerta Sivu 4 Luku Opetuskerta Sivu Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus Näyttöä ohjaava piiri, kun näyttö näyttää vuorotellen sykkeen, ruumiinlämmön, veren alapaineen ja veren yläpaineen Joulukoriste, jossa on kymmenen lamppua. Yksi lampuista kerrallaan palaa Seurapelin digitaalinen tiimalasi, joka näyttää jäljellä olevat minuutit ja sekunnit ja alkaa arvosta min Synkroninen sekvenssipiiri Vastaa seuraaviin kysymyksiin: IN NS Tilarekisteri PS Kombinaatiopiiri OUT NS Mitkä ovat synkronisen sekvenssipiirin osat Mitkä signaalit vaikuttavat tilarekisterin tilanmuutokseen Mistä signaaleista lähtösignaalit riippuvat Onko välttämättä oltava muita tulosignaaleja kuin kellosignaali Mikä merkitys kellosignaalilla on Määrääkö kellosignaali piirin seuraavan tilan

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Opetuskerta Sivu Tilarekisterin kiikkujen lukumäärä Yhdistä oikeat luvut viivoilla. Mooren kone ja Mealyn kone Vastaa seuraaviin kysymyksiin. 5 Tilojen määrä 7 Kiikkujen määrä 5 4 Voiko tulosignaalin muutos aiheuttaa lähtösignaalin välittömän muutoksen Mooren koneessa Voiko lähtösignaali muuttua kesken kellojakson Mealyn koneessa Riippuuko nykyinen tila tulosignaaleista Mealyn koneessa Vaikuttaako kellosignaalin taajuus siihen, miten nopeasti lähtösignaalit voivat muuttua Mooren koneessa 6 IN Lähdöt muodostava kombinaatiopiiri OUT Vain Mealyn koneessa IN Lähdöt muodostava kombinaatiopiiri OUT Tilanmuutokset Vastaa seuraaviin kysymyksiin. 4 VUOR NS TR PS KP L L NS Tilarekisteri PS Seuraavan tilan muodostava kombinaatiopiiri NS Tilarekisteri PS Seuraavan tilan muodostava kombinaatiopiiri NS Mikä signaali määrää nykyisen tilan (PS) muutoksen ajankohdan Tila YKS KAK Tilanmuutoksessa YKS KAK PS muuttuu ja PS. Miksi PS PS VUOR Tilanmuutoksessa YKS KAK vain toinen signaaleista L ja L muuttuu. Miksi NS NS L L

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu 4 Luku Opetuskerta Sivu Asynkroninen asetus ja nollaus Alla on esitetty asynkronisesti nollattavan -kiikun piirrosmerkki ja osittain täytetty toimintakaavio. Täydennä toimintakaavio. 7 SR-salpa Vastaa seuraaviin kysymyksiin. S R Tila Ei muutu Nollattu Asetettu Kielletty R Piirrosmerkki C R R Toimintakaavio X X Millä signaalilla SR-salpa nollataan SR-salpa asetetaan viisi kertaa peräkkäin nollaamatta sitä välillä. Mikä on -signaalin arvo viidennen asetuksen jälkeen Mikä S- ja R-tulojen yhdistelmä on kielletty Montako bittiä tietoa yhteen SR-salpaan voidaan tallettaa Missä SR-salpoja käytetään suuria määriä -salpa Alla on esitetty -salvan piirrosmerkki ja aikakaavio. Täydennä ne. Käytä apuna oheista -salvan toimintakaaviota. Tila X Ei muutu Nollattu Asetettu

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Luku Opetuskerta Sivu -kiikku Mikä signaali määrää -kiikun seuraavan tilan Milloin piirrosmerkin mukaisen -kiikun tila muuttuu Milloin piirrosmerkin mukaisen -kiikun tila muuttuu Montako bittiä tietoa yhteen -kiikkuun voidaan tallettaa Mihin käyttöön -kiikku sopii hyvin C C JK-kiikku Vastaa seuraaviin kysymyksiin. Käytä apunasi oheista JK-kiikun toimintakaaviota. Millä kytkennällä JK-kiikusta saadaan T- kiikku Entä millä -kiikku (tarvitaan yksi lisäpiiri) Minkä arvon annat J- ja K-tulolle, kun haluat, että kiikku asettuu seuraavan kellopulssin nousevalla reunalla Millainen on laskevalla reunalla liipaistavan JK-kiikun piirrosmerkki 5 J K (t+) (t) (t) Tila Ei muutu Nollautuu Asettuu Vaihtuu T-kiikku 4 Täydennä alla esitetty nousevalla reunalla liipaistavan T-kiikun piirrosmerkki ja aikakaavio. Käytä apuna oheista T-kiikun toimintakaaviota. T (t+) Tila (t) Ei muutu (t) Vaihtuu Kiikun ajoitusparametrit Esitä kysytyt ajat nanosekunteina kaavion perusteella. Asettumisaika Pitoaika Etenemisviive 6 Saa muuttua Saa muuttua T t/ns 5 5

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu 4 Luku Opetuskerta Sivu Lähdön lauseke Johda vilkuttimen lähtötaulusta lähdön lauseke. 7 Vilkuttimen määrittely ja lohkokaavio Vilkuttimessa VILK on kaksi tilaa. Toisessa niistä vilkuttimen lamppu palaa (LAMP = ), toisessa ei. Tila vaihtuu kellosignaalin tahdissa. Kellosignaalin taajuus on Hz. Laadi vilkuttimen vuokaavio ja lohkokaavio. Lähtötaulu Nykyinen tila Lähtö LAMP Piirikaavio Piirrä vilkuttimen piirikaavio. Käytä siinä oheisen kuvan mukaista -kiikkua. C 8 Tilalohko ja lähtönuoli Laadi tilalohko ja lähtönuolet, kun tilasta tiedetään seuraavat asiat: OPER RUN NORM = Tilan nimi on VALO Tilassa lähtösignaali LAMP on aktiivinen Tilakoodi on LAMP =

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta Sivu Luku Opetuskerta Sivu ASM-kaavio, vain kellotulo Laadi ASM-kaavio oheisen vuo- ja lohkokaavion mukaiselle vilkuttimelle. Vuokaavio s Tilataulu ja lähtötaulu Täydennä vilkuttimen tilataulu ja lähtötaulu. ASM-kaavio Nykyinen tila Tilataulu Seuraava tila 5 Lamppu Lamppu palaa palaa s EIV Lohkokaavio VILK VILK LAMP VALO LAMP Lähtötaulu Nykyinen tila Lähtö LAMP Kellojakso s Kellojakso s Tilakoodit Anna vilkuttimen tiloille tilakoodit. Käytä perinteistä koodausta eli minimoi kiikkujen lukumäärä. 4 Seuraavan tilan lauseke Johda vilkuttimen tilataulusta seuraavan tilan lauseke. 6 ASM-kaavio Tilataulu EIV VALO LAMP Nykyinen tila Seuraava tila Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 4 Sivu 4 Luku Opetuskerta 4 Sivu Estettävän vilkuttimen piirikaavio Piirrä estotoiminnalla varustetun vilkuttimen piirikaavio. Käytä tilarekisterinä kuvan mukaista -kiikkua. C Päätöslohko Vilkuttimen toiminta voidaan estää tulosignaalilla ESTO. Tällöin vilkuttimen lamppu ei pala. Piirrä vastaava päätöslohko ja siihen - ja -haara. SLOW 9 = + ESTO LAMP = Estettävän vilkuttimen ASM-kaavio Laadi estotoiminnalla varustetun vilkuttimen ASM-kaavio tilakoodeineen. Vuokaavio s Toiminta estetty estetty Ei Lamppu Lamppu palaa palaa Kyllä s ESTO Lohkokaavio ESVILK ESVILK LAMP Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 4 Sivu Luku Opetuskerta 4 Sivu Estettävän vilkuttimen tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen tila- ja lähtötaulu. ASM-kaavio Tilataulu Seuraavan tilan lauseke Esitä estotoiminnalla varustetun vilkuttimen seuraavan tilan funktion lauseke. Muokkaa sitä niin, että saat funktion toteutetuksi yhdellä portilla. EIV Nykyinen tila Tulo ESTO Seuraava tila Tilataulu VALO ESTO LAMP Lähtötaulu Nykyinen tila Lähtö LAMP Nykyinen tila Tulo ESTO Seuraava tila Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 5 Sivu 4 Luku Opetuskerta 5 Sivu Estettävän vilkuttimen piirikaavio (Mealy) Piirrä estotoiminnalla varustetun Mealyn koneena toteutetun vilkuttimen piirikaavio. Käytä tilarekisterissä oheista -kiikkua. C 8 Ehdollinen lähtölohko Estotoiminnalla varustetussa vilkuttimessa halutaan, että ESTO-signaalin arvo estää lähtösignaalin LAMP heti, eli pakottaa sen arvoon. Piirrä tähän tarvittava päätöslohko ja ehdollinen lähtölohko sekä niihin liittyvät lähtönuolet. FAST RAPI NORM 4 = + ESTO LAMP = + ESTO Kellosignaalin käyttö Kellosignaalin käyttötavat ovat ) ajastus, ) odotus ja ) niiden yhdistelmä. Mitä tapaa käyttäisit seuraavissa sovelluksissa: Taskulaskimen näppäimistön liitäntäpiiri 9 Estettävä vilkutin Mealyn koneena Muokkaa estotoiminnalla varustetun vilkuttimen Mooren kone -toteutuksen ASM-kaaviosta Mealyn kone -toteutuksen ASM-kaavio niin, että ESTOsignaalin arvo sammuttaa lampun LAMP heti. 5 Tietokoneen keskusyksikön ohjauslogiikka EIV Näppäinlukko ESTO Hedelmäpelin ohjauslogiikka igitaalikellon ajan laskentapiiri VALO LAMP Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku Opetuskerta 5 Sivu Luku Opetuskerta 5 Sivu Estettävän vilkuttimen yhdistetty tila- ja lähtötaulu Täydennä estotoiminnalla varustetun vilkuttimen yhdistetty tila- ja lähtötaulu. EIV VALO ESTO LAMP Nykyinen tila Tila- ja lähtötaulu Tulo ESTO Seuraava tila Lähtö LAMP 6 Estettävän vilkuttimen tila- ja lähtösignaali (Mealy) Esitä Mealyn koneena toteutetun estotoiminnalla varustetun vilkuttimen seuraavan tilan ja lähtösignaalin lauseke. Muokkaa lähtösignaalin lauseketta niin, että voit toteuttaa funktion yhdellä portilla. Nykyinen tila Tila- ja lähtötaulu Tulo ESTO Seuraava tila Lähtö LAMP 7 Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 6 Sivu 4 Luku 4 Opetuskerta 6 Sivu VHL-kuvauskielen operaatiot Esitä seuraavat lausekkeet VHL-kuvauskielellä. Muista sulkeiden käyttö! 4 Laitteiston kuvauskielet Yhdistä seuraavat laitteiston kuvauskielten ja ohjelmointikielten nimet oikeisiin kohteisiin. a + b c ABEL Ada (a + b) c a + b c a b c Ohjelmointikielet AHL C++ COBOL CUPL Fortran PALASM Pascal Verilog VHL Laitteiston kuvauskielet Visual Basic

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 6 Sivu Luku 4 Opetuskerta 6 Sivu VHL-kuvauksen perusrakenne a f VHL-kuvauskielen keskeiset tyypit Täydennä oheinen invertterin eli EI-piirin VHL-kuvaus. Yhdistä alla olevat objektit niiden oikeaan tyyppiin. Huomaa, että sama objekti voi kuulua useaan eri tyyppiin. ENTITY IS PORT ( : IN BIT; : OUT BIT); EN ; '' "" 'Z' BIT BIT_VECTOR BOOLEAN ARCHITECTURE OF IS BEGIN TRUE CHARACTER INTEGER <= NOT ; EN ; "4" STRING 4 ST_LOGIC

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 7 Sivu 4 Luku 4 Opetuskerta 7 Sivu Suunnitteluyksikön esittely päälohkokaaviosta Täydennä piirin suunnitteluyksikön esittely alla esitetyn estotoiminnalla varustetun vilkuttimen päälohkokaavion mukaiseksi. 5 esto clk reset Valon vilkutin esvilk esvilk lamp ENTITY IS PORT ( : IN BIT; clk, reset : ; : BIT); EN ; VHL-käyttäytymiskuvaus lausekkeesta Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin lausekkeesta muodostettu VHL-käyttäytymiskuvaus. 6 lamp = q + esto ENTITY esvilkkomb IS PORT (, : IN ; : BIT); EN ; ARCHITECTURE OF IS BEGIN <= ; EN ;

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 7 Sivu Luku 4 Opetuskerta 7 Sivu Tilanmuutosten kuvaaminen Täydennä estotoiminnalla varustetun vilkuttimen tilanmuutosten kuvaus. ASM-kaavio CASE tila IS WHEN eiv => eiv IF = '' THEN esto tila <= ; ELSE <= ; EN IF; valo lamp Kellojakso s WHEN => tila <= ; EN CASE; 7 Mealyn koneen lähtösignaalien kuvaaminen Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin kuvaus. <= '' WHEN tila = AN = ELSE ; eiv valo esto lamp 9 Mooren koneen lähtösignaalien kuvaaminen Täydennä estotoiminnolla varustetun vilkuttimen lähtösignaalin kuvaus. ASM-kaavio 8 eiv esto WITH tila SELECT <= '' WHEN, WHEN OTHERS; valo lamp Kellojakso s

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 4 Opetuskerta 8 Sivu Luku 5 Opetuskerta 8 Sivu Rakennekuvaus Täydennä piirikaavion kuvaaman kombinaatiopiirin VHLarkkitehtuurin rakennekuvaus. ARCHITECTURE rakenne OF piiri IS BEGIN COMPONENT nand_ PORT (, b : IN BIT; : ); EN COMPONENT; SIGNAL : BIT; u: nand_ PORT MAP (a => x, b => y, f => uf); : PORT MAP (a =>, b =>, => ); EN ; x y z a b u f uf a b u f g Rinnakkaisrekisterin aikakaavio Täydennä oheisena esitetyn toiminnan sallintatulolla varustetun -bittisen rinnakkaisrekisterin aikakaavio. LOA LOA Piirrosmerkki Toimintataulukko LOA G C i (t+) i (t) i (t) Rinnakkais- ja sarjaliitännät Yhdistä seuraavat digitaalisten rinnakkais- ja sarjaliitäntätyyppien nimet oikeisiin kohteisiin. Rinnakkaisliitäntä VI FireWire Ethernet HMI PATA (IE) PCI PCI Express SATA USB Sarjaliitäntä

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 5 Opetuskerta 9 Sivu Luku 5 Opetuskerta 9 Sivu Ajoituspulssien synnyttäminen -laskuri binaarilaskurista Lisää kuvan siirtorekisteriin tarvittavat piirit ja tee tarvittavat kytkennät, jotta se tuottaa oheisen aikakaavion mukaiset ajoituspulssit. T T T SRG4 C/ Lisää kuvan binaarilaskuriin tarvittavat piirit ja tee tarvittavat kytkennät, jotta se laskee luvusta lukuun = B ja nollautuu sitten kellopulssin nousevalla reunalla. 5 CTRIV6 M G CT=5 G C4/,,+,4 [] [] [4] [8] Asynkronisen laskurin viive Täydennä alla esitetyn laskurin aikakaavio. Kiikun viive on ns. Laskurissa on aluksi luku 7 = B. Minkä ajan laskurissa on luku 8 = B T C T C T C T C 4 t/ns 4 5 6 7 8 9

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu 4 Luku 6 Opetuskerta Sivu SPL-piirin rakenne IN IN IN IN4 Liitäntöjen sallintasignaalit Makrosolu Makrosolu Makrosolu 8 Liitäntäsignaalit I/O - I/O8 Ohessa on esimerkki SPL-piiristä. Sillä toteutetaan piiri, jossa on kuusi tulosignaalia. Vastaa seuraaviin kysymyksiin: Kytkentämatriismatriisi Liitäntälohklohko I/O I/O I/O8 Montako liitäntöjen sallintasignaalia piirissä on Montako ohjelmoitavaa liitäntää on ohjelmoitava tuloiksi Montako lähtösignaalia piirissä voi enintään olla Montako lähtösignaalia piirissä voi enintään olla, jos toteutettavat funktiot ovat niin mutkikkaita, että jokaisen toteuttamiseen tarvitaan kaksi makrosolua CPL-piirin rakenne Vastaa seuraaviin kysymyksiin. Montako makrosolua piirissä on IN IN IN IN4 Liitäntöjen A sallintasignaalit Makrosolulohko A Makrosolut A-A5 Makrosolulohko A Makrosolut A6-A8 Liitäntäsignaalit I/OA - I/OAx Liitäntöjen sallintasignaalit Kytkentämatriismatriisi Liitäntälohko A lohko I/OA I/OAx Montako upotettua makrosolua Montako ohjelmoitavaa liitäntää Makrosolulohko Makrosolut -5 Makrosolulohko Makrosolut 6-8 Liitäntäsignaalit I/O - I/Ox Liitäntälohko lohko I/O I/Ox Jääkö makrosolu käyttämättömäksi, jos ohjelmoitava liitäntä ohjelmoidaan tuloksi Piirillä toteutetaan aito Mealyn kone, jossa on kaikkiaan 8 tuloa ja lähtöä. Jokaisessa makrosolussa on yksi kiikku. Montako tilaa piirissä voi enintään olla

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu PAL-arkkitehtuuri Vastaa seuraaviin kysymyksiin. Montako makrosolua piirissä on Montako pystylinjaa kytkentämatriisissa on Montako tuloa jokaisessa JA-portissa on Montako tulotermiä makrosolussa muodostettavassa lausekkeessa voi enintään olla Useassa muodostettavassa lausekkeessa on sama tulotermi. Onko se muodostettava useita kertoja Montako JA-porttia piirissä on Montako tuloa JA-porteissa on yhteensä IN IN4 I/O I/O8 PLA-arkkitehtuuri Vastaa seuraaviin kysymyksiin. Piirissä on 4 JA-porttia. Montako makrosolua piirissä on Montako pystylinjaa kytkentämatriisissa on Montako tuloa jokaisessa TAI-portissa on 4 Montako tulotermiä makrosolussa muodostettavassa lausekkeessa voi enintään olla IN IN4 Useassa muodostettavassa lausekkeessa on sama tulotermi. Tarvitseeko se muodostaa jokaiseen erikseen Kytk.- matr. Kytk.-matr. I/O I/O8

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu Makrosolun ohjelmointi Ohjelmoi makrosolu seuraavasti: Makrosolussa muodostetaan I-SOPlauseke Piirillä toteutetaan synkroninen sekvenssipiiri Makrosolun kiikkua käytetään tilarekisterissä Kiikku alustetaan yhteisellä alustussignaalilla Liitäntälohkon ohjelmointi Ohjelmoi liitäntälohko seuraavasti: Ohjelmoitava liitäntä ohjelmoidaan tuloksi Ohjelmoitava liitäntä ohjelmoidaan lähdöksi Ohjelmoitava liitäntä ohjelmoidaan kaksisuuntaiseksi ja suunta valitaan piirin yhteisellä sallintasignaalilla Ohjelmoitava liitäntä 4 ohjelmoidaan kaksisuuntaiseksi ja suunta valitaan liitäntäkohtaisella sallintasignaalilla GR G = A LR Kytkentämatriisiin GOE OE OE OE OE4 5 /T R C Seuraavaan makrosoluun EN EN EN EN 6 I/O I/O I/O I/O4 Kombinaatiopiirin toteutus PAL-piirillä Toteuta oheisella PAL-piirillä seuraavat funktiot: F = A B + B C + A B C G = A B + A C + B C + A B C = = = = EN EN EN EN 7

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 6 Opetuskerta Sivu Luku 6 Opetuskerta Sivu Kytkentäfunktion toteutus hakutaululla Toteuta oheisella hakutaululla kytkentäfunktio F(A, B, C) = m(,, 4, 5, 7) 8 Kytkentäfunktion toteutus hakutauluilla Toteuta funktio F(A, B, C) = m(,, 6) hakutauluilla ja tulovalitsimella. 9 MUX G 7 4 5 6 7 MUX G MUX G G MUX

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 7 Opetuskerta Sivu 4 Luku 7 Opetuskerta Sivu Kiinto- ja vaihtomuistin käyttö Luettele laitteita, joissa käytetään kiintomuistia ja/tai vaihtomuistia ja joissa sillä/niillä on laitteen toiminnan kannalta keskeinen merkitys. Laite Kiintomuistia Vaihtomuistia Muistipiirin koko Muistipiirin koko on 6 Ki x 6 b Muistipiirin koko on 8 MiB Vastaa seuraaviin kysymyksiin, jos voit: Yksikkö Ki kibi Mi mebi Gi gibi Koko Mikä on muistipiirin sananpituus Montako sanaosoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Mikä on muistipiirin sananpituus Montako osoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina.

igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AKo igitaalitekniikka (piirit) Täsmätehtävät.8. Fe/AK Luku 7 Opetuskerta Sivu Luku 7 Opetuskerta Sivu Flash-muistityypit NOR ja NAN Yhdistä seuraavat ominaisuudet ja käyttökohteet eri Flash-muistityyppeihin. Muistipiirin osoiteavaruuden laajentaminen Täydennä oheisena esitetyn Mi x 8 -muistin piirikaavio. 5 NOR-Flash igitaalikamera Halpa Kaksi bittiä / muistisolu Kallis Luotettava Muistikortti Muistitikku Ohjelmamuisti Pieni kapasiteetti PL-piirin ohjelma Suuri kapasiteetti Yksi bitti / muistisolu NAN-Flash A-A9 A R WR RAM MX8 AR CS ATA REA WRITE RAM MX8 AR CS ATA REA WRITE -7 Vaihtomuistipiirin toiminta Vastaa alla esitettyihin viereiseen vaihtomuistipiiriin liittyviin kysymyksiin: Mikä on muistipiirin sananpituus Montako osoitetuloa muistipiirissä on Montako tavua tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Montako bittiä tietoa muistipiirissä on Voit esittää vastauksen kahden potensseina. Mikä on muistipiirin osoiteavaruus Mikä on signaalin CS merkitys Mikä on signaalin R merkitys Mikä on signaalin WR merkitys A A A CS R WR RAM MX8 A.. 975. G EN[REA] C[WRITE] A,/ 4 5 6 7 4 Staattiset ja dynaamiset vaihtomuistit Yhdistä seuraavat vaihtomuistipiirien ominaisuudet ja käyttötarkoitukset oikeisiin kohteisiin. Staattinen vaihtomuisti R Haihtuva Halpa Muistielementti kondensaattori Muistielementti salpa Nopea Päämuisti R Rivi- ja sarakeosoite Suuri kapasiteetti Virkistettävä Välimuisti ynaaminen vaihtomuisti 6