21~--~--~r--1~~--~--~~r--1~

Samankaltaiset tiedostot
2_1----~--~r--1.~--~--~--,.~~

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

Verilogvs. VHDL. Janne Koljonen University of Vaasa

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

Capacity Utilization

Telecommunication Software

1. SIT. The handler and dog stop with the dog sitting at heel. When the dog is sitting, the handler cues the dog to heel forward.

c) loogiset funktiot tulojen summana B 1 = d) AND- ja EXOR-porteille sopivat yhtälöt

Bounds on non-surjective cellular automata

Returns to Scale II. S ysteemianalyysin. Laboratorio. Esitelmä 8 Timo Salminen. Teknillinen korkeakoulu

VHDL-kuvauskieli. Digitaalitekniikka (piirit) Luku 17 Sivu 1 (33)

LYTH-CONS CONSISTENCY TRANSMITTER

The CCR Model and Production Correspondence

Efficiency change over time

BL40A17x0 Digitaalielektroniikka A/B: Ohjelmoitavat logiikkapiirit

Alternative DEA Models

7.4 Variability management

On instrument costs in decentralized macroeconomic decision making (Helsingin Kauppakorkeakoulun julkaisuja ; D-31)

16. Allocation Models

Other approaches to restrict multipliers

Makrojen mystinen maailma lyhyt oppimäärä

anna minun kertoa let me tell you

FinFamily PostgreSQL installation ( ) FinFamily PostgreSQL

Use of spatial data in the new production environment and in a data warehouse

The Viking Battle - Part Version: Finnish

RE11LMBM. Tuotelehti Tuntomerkit. Pääasiallinen. Vastaavanlainen 1 / 6

Luento 3: Digitaalilogiikka Luento 3. u binary: AND ( ) A B = AB. u unary: NOT ( _ ) A. u precedence: NOT, AND, OR.

kwc Nirni: Nimen selvennys : ELEKTRONIIKAN PERUSTEET 1 Tentti La / Matti Ilmonen / Vastaukset kysymyspapereille. 0pisk.

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

SIMULINK S-funktiot. SIMULINK S-funktiot

On instrument costs in decentralized macroeconomic decision making (Helsingin Kauppakorkeakoulun julkaisuja ; D-31)

Inputs: b; x= b 010. x=0. Elektroniikkajärjestelmät ETT_2068

Sisällysluettelo Table of contents

RINNAKKAINEN OHJELMOINTI A,

Co-Design Yhteissuunnittelu

Huom. tämä kulma on yhtä suuri kuin ohjauskulman muutos. lasketaan ajoneuvon keskipisteen ympyräkaaren jänteen pituus

1.3 Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

( ( OX2 Perkkiö. Rakennuskanta. Varjostus. 9 x N131 x HH145

Ajettavat luokat: SM: S1 (25 aika-ajon nopeinta)

Mat Seminar on Optimization. Data Envelopment Analysis. Economies of Scope S ysteemianalyysin. Laboratorio. Teknillinen korkeakoulu

Tynnyrivaara, OX2 Tuulivoimahanke. ( Layout 9 x N131 x HH145. Rakennukset Asuinrakennus Lomarakennus 9 x N131 x HH145 Varjostus 1 h/a 8 h/a 20 h/a

1.3Lohkorakenne muodostetaan käyttämällä a) puolipistettä b) aaltosulkeita c) BEGIN ja END lausekkeita d) sisennystä

c) loogiset funktiot tulojen summana B 1 = C 2 C 1 +C 1 C 0 +C 2 C 1 C 0 e) logiikkakaavio

Enterprise Architecture TJTSE Yrityksen kokonaisarkkitehtuuri

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Tietorakenteet ja algoritmit

TM ETRS-TM35FIN-ETRS89 WTG

TM ETRS-TM35FIN-ETRS89 WTG

Kvanttilaskenta - 2. tehtävät

TM ETRS-TM35FIN-ETRS89 WTG

V H D L. Very high speed integrated circuits Hardware Description Language

Metsälamminkankaan tuulivoimapuiston osayleiskaava

What we learned in TKT-1202?

TM ETRS-TM35FIN-ETRS89 WTG

E U R O O P P A L A I N E N

Object Framework - One. OF-1 is a high-productive Multi-UI OpenEdge data driven development framework. Veli-Matti Korhonen

WindPRO version joulu 2012 Printed/Page :42 / 1. SHADOW - Main Result

TM ETRS-TM35FIN-ETRS89 WTG

Digital logic. Boolean Algebra. Tietokoneen rakenne. Tietokoneen rakenne

Automaattiteoria diskreetin signaalinkäsittelyn perusmallit ja -menetelmät ( diskreettien I/O-kuvausten yleinen teoria)

Digitaalitekniikka (piirit), kertaustehtäviä: Vastaukset

( ,5 1 1,5 2 km

Muuttujatyypit ovat Boolean, Byte, Integer, Long, Double, Currency, Date, Object, String, Variant (oletus)

TM ETRS-TM35FIN-ETRS89 WTG

TM ETRS-TM35FIN-ETRS89 WTG

ASCII-taidetta. Intro: Python

Capacity utilization

Network to Get Work. Tehtäviä opiskelijoille Assignments for students.

AYYE 9/ HOUSING POLICY

Salausmenetelmät 2015/Harjoitustehtävät

Laskennallisesti Älykkäät Järjestelmät. Sumean kmeans ja kmeans algoritmien vertailu

TM ETRS-TM35FIN-ETRS89 WTG

C++11 seminaari, kevät Johannes Koskinen

Information on preparing Presentation

Tässä ohjeessa käydään läpi sosiaalisen median verkkopalveluiden lisätoimintojen lisääminen verkkosivuillesi.

TM ETRS-TM35FIN-ETRS89 WTG

Small Number Counts to 100. Story transcript: English and Blackfoot

,0 Yes ,0 120, ,8

T Statistical Natural Language Processing Answers 6 Collocations Version 1.0

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään.

Lab A1.FARM_Hyper-V.v3

MB 20 MODBUS RTU LIITYNTÄYKSIKKÖ SAR 410:LLE TEKNISET TIEDOT. MB 20 on liityntäkortti painesäädin SAR 410:n liittämiseksi Modbus RTU väylään.

Choose Finland-Helsinki Valitse Finland-Helsinki

TM ETRS-TM35FIN-ETRS89 WTG

TM ETRS-TM35FIN-ETRS89 WTG

812336A C++ -kielen perusteet,

Operatioanalyysi 2011, Harjoitus 2, viikko 38

Pakettisynkronointitestauksen automaatio

WindPRO version joulu 2012 Printed/Page :47 / 1. SHADOW - Main Result

ELEC-C3240 Elektroniikka 2

MRI-sovellukset. Ryhmän 6 LH:t (8.22 & 9.25)

FIS IMATRAN KYLPYLÄHIIHDOT Team captains meeting

PAINEILMALETKUKELA-AUTOMAATTI AUTOMATIC AIR HOSE REEL

Arkkitehtuuritietoisku. eli mitä aina olet halunnut tietää arkkitehtuureista, muttet ole uskaltanut kysyä

KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ

Digitaalitekniikan matematiikka Luku 3 Sivu 1 (19) Kytkentäfunktiot ja perusporttipiirit

Transkriptio:

- K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition table and total state table) kyseiselle piirille. Alleviivaa siirtotaulukon stabiilit tilat. Yalta hasardeja ja muista oikea tilakoodaus. X olkoon tulo, ZO ja Z1 ovat antoja. Kayta vain logiikka portteja. zo 21~--~--~r--1~~--~--~~r--1~------- 1 I I 2. Selita lyhyesti (a) Mealy tilakone. Esita rakenne lohkokaaviolla. (b) Moore tilakone. Esita rakenne lohkokaaviolla. (c) One-Hot Encoded state machine. (d) Registered-Output Finite State Machine (e) Esita tekijoita,jotka vaikuttavat digitaalisen piirin tehon kulutukseen.

3. Anna kytkentakaavio alia olevalie VHDL kuvaukselie. entity comblog is port( sel : in integer range 0 to 3; x,y, z : in std _logic; res: out std_logic); end entity comblog; architecture behavior_ arch of comb log is case sel is when 0 => res <= x and y; when 1 => res <= y xor z; when 2 => res <= x nand z; when others => res <= x nor z; end case; end architecture behavior _arch; 4. Anna kytkentakaavio alia olevalie VHDL kuvaukselie. Kaytossasi on D-ff, joka on nousevalia reunalia aktiivinen ja silla on Clock Enable (CE) tulo. CE on ylatilaaktiivinen (level -1-) tulo. use ieee.std _logic _1164.ali; entity reg is port ( ina : in std _logic_ vector(? down to 0); enable : in std _logic; elk, start: in std _logic; com : out std _logic_ vector(? downto 0) ); end reg; architecture reg_ arch of reg is signal reg_ out : std _logic_ vector(? downto 0); process (elk) is if (elk' event and elk = ' 1 ') then if enable =' 1' then reg_ out <= ina; process( reg_ out, start) is variable varcom : std _logic_ vector(? downto 0);

if start= '0' then varcom := "00000000"; else varcom := reg_ out; com <= varcom; end architecture reg_ arch ; 5. Anna kytkentiikaavio liitteessa olevalle VHDL-kuvaukselle. Esita kytkentii kuvauksen mukaisella rakenteella. Mika kytkentii on kyseessa? 6. Design the synchronous binary encoded finite state machine (FSM). The external control input is RUN. If RUN= -1-, the FSM enters to the continuous sequence described below. When RUN goes to the -0-level, the FSM stop to the state where A = B = -0-. If outputs A orb are -1- when RUN goes to the level: RUN=O, the FSM sequence runs normally until A = B = -0- at the same time. Let the first state be a, when outputs A= -0- and B = -0-. Draw only clock connections between DFFs and give the boolean functions of the exitationfunctions of DFFs. Outputs A and B has own DFFs. The solution is Registered Output FSM, which has binary-encoded states. elk A ~~--~r1~--~~--------- B R0N=1 RUN=O

use IEEE.STD - LOGIC - 1164.ALL; --Comments -- design for examination. By KL 03.05.2011 ---------- -- Comments --- entity nandgate is port (a,b,c : in std_logic; y: out std_logic); end nandgate; architecture nandgate_arch of nandgate is y <=not (a and band c); end nandgate_arch; --Comments use IEEE.STD_LOGIC_1164.ALL; entity notgate is port ( in port : in std _logic; outport: out std_logic); end notgate; architecture notgate_arch of notgate is outport <= not inport; end notgate_arch; --Comments. use IEEE.STD_LOGIC_1164.ALL;

1'. ' I' entity tentti is port (a: in STD_LOGIC; b: in STD_LOGIC; c: in STD_LOGIC; do : out STD_LOGIC; d1 : out STD_LOGIC; d2: out STD_LOGIC; d3: out STD_LOGIC; d4: out STD_LOGIC; d5: out STD_LOGIC; d6: out STD_LOGIC; d7: out STD_LOGIC); end tentti; architecture tentti_arch of tentti is component nandgate is port (a,b,c: in std_logic; y: out std_logic); end component; component notgate is port (inport: in std_logic; outport: out std_logic); end component; -- declare local signals : inva invb and invc - signal inva, invb, invc: std_logic; -- Comments -------- U1: notgate port map( a, inva); U2: notgate port map(b, invb ); U3: notgate port map (c, invc); -- Now we can drive outputs ---- U4: nandgate port map(inva, invb, invc, do); US: nandgate port map( a, invb, invc, d1 ); U6: nandgate port map(inva, b, invc, d2); U7: nandgate port map( a, b, invc, d3); U8: nand gate port map(inva, invb, c, d4 ); U9: nandgate port map(a, invb, c, d5); U10: nandgate port map(inva, b, c, d6); U 11: nand gate port map( a, b, c, d7); end tentti_arch;