VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

Koko: px
Aloita esitys sivulta:

Download "VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla"

Transkriptio

1 Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään. Melkein kaikki toiminnot tehdään Quartus II -ohjelmassa, johon luodaan projekti. Projekti on tavallaan säiliö, joka sisältää organisoidusti tarvittavat piirikuvaukset, testipenkit, asetukset ja muut tiedostot. Seuraavassa esimerkissä kuvataan kahden sisääntulon ja yhden ulostulon piiri VHDL:llä. Piiri toteuttaa XOR-funktion. Simuloidaan piiriä tekemällä sille testipenkki VHDL:llä ja ajamalla simulaatio ModelSim Altera Edition -ohjelmalla. Lopuksi toteutetaan piiri FPGA-piirillä käyttäen DE2-kehitysalustaa. FPGA:lla sisääntuloja ohjataan valintakytkimillä ja ulostulo esitetään punaisella valodiodilla. 1. Käynnistä Quartus II -ohjelma (versio 9) Jos ohjelma kysyy lisenssiä, hae lisenssitiedosto (license.dat) kansiosta: C:\altera\ 2. Luo uusi projekti: File -> New Project Wizard Luo omaan kansioosi uusi hakemistomy2xor ja valitse se working directoryksi. Quartus osaa myös itse luoda kansion, jos kirjoitat uuden kansionimen working directory-kohtaan. Opiskelijoiden verkkokansiot eivät välttämättä toimi (osalla toimii osalla ei), koska ilmeisesti verkkolevy ei anna Quartuksen tai ModelSimin kirjoittaa niihin uusia tiedostoja. Käytä tällöin muistitikkua (voi olla hidas) tai C:\altera -kansiota. Jälkimmäisessä tapauksessa ota lopuksi kopio tiedostoista itsellesi ja poista tiedostot koneelta Aseta projektin ja top-levelin nimiksi myösmy2xor, jolloin myöhemmin on helppo löytää oikea projekti, kun kansion ja projektin nimet ovat samat. Jos sinulla on jokin aiempi projekti, voit hakea sieltä asetukset (Use existing project settings ), jolloin osa myöhemmistä vaiheista jää pois Valitse DE2-kehitysalustalla käytettävä piiri: Cyclone II: EP2C35F672C6. 3. Kirjoita VHDL-kuvaus piiristä: 3.1. Luo uusi VHDL-tiedosto: File -> New -> Design Files -> VHDL File 3.2. Kirjoita VHDL-koodi, esim: library IEEE; use IEEE.std_logic_1164.all; entity my2xor is port(x : in std_logic_vector(1 downto 0); z : out std_logic);

2 Vaasan yliopisto Sivu: 2/9 end my2xor; architecture my2xor_arch of my2xor is begin z <= x(1) xor x(0); end my2xor_arch; 3.3. Tallenna kirjoittamasi tekstitiedosto: File -> Save As, nimeä my2xor.vhd 4. Tarkistetaan asetukset: Assignments -> Settings: -Files: Tässä näkyvät projektissa mukana olevat tiedostot. Ainakin pitäisi my2xor.vhd olla. - Device: FPGA-piirin malli ja asetukset. Asetetaan käyttämättömät pinnit korkearesistanssiseen tilaan: Device and Pin Options -> Unused Pins -> As input tri-stated. - EDA Tool Settings à Simulation à Toolname: ModelSim-Altera, Format for output netlist: VHDL, output directory: simulation/modelsim. - OK 5. Käännä VHDL-kuvaus: Start Analysis & Synthesis. (Ks. Kuva 1.) Korjaa tarvittaessa virheet. Kuva 1. VHDL-kuvauksen kääntäminen ja vastaava pikavalintapainike (ympyröity). 6. Tutkitaan käännösraporttia. - VHDL-kuvauksen toteuttaminen vie yhden logiikkaelementin ja kolme liitintä.

3 Vaasan yliopisto Sivu: 3/9 - Tutkitaan piirikaaviota (Kuva 2): Tools -> Netlist Viewers -> RTL Viewer. Tämä ei välttämättä ole lopullinen, optimointien jälkeinen toteutus, mutta mahdollistaa visuaalisen tarkistuksen, että piiri oikeanlainen. x[1..0] z~0 z Kuva 2. Quartus II:n luoma piirikaavio. - Optimoidun tuloksen näkee toisesta kuvaajast: Tools -> Netlist Viewers -> Technology Map Viewer (Post-Mapping). 7. Kerrotaan Quartukselle, missä simulaattoriohjelma on Etsi ModelSim-Altera-ohjelman käynnistyskuvake. Avaa siitä hiiren oikealla painikkeella valikko ja avaa Properties. Kopioi Target-kohdasta.exe -tiedoston hakemistopolku kuvan 3 mukaisesti. Kuva 3. Exe-tiedoston hakemistopolun kopioiminen.

4 Vaasan yliopisto Sivu: 4/ Avaa Quartuksesta Tools à Opions Mene välilehdelle EDA Tool Options Liitä ModelSim-Alteran osoite oikeaan kohtaan (ks. Kuva 4). Kuva 4. ModelSim-Alteran hakemistopolun lisääminen. 8. Simuloidaan piiri: 8.1. Luodaan ensin ModelSimiä varten hakemistorakenne. Valitse Tools à Run EDA Simulation Tool à EDA RTL Simulation. Quartus käynnistää ModelSimin automaattisesti. Tällä kertaa voit sulkea ModelSimin välittömästi.

5 Vaasan yliopisto Sivu: 5/9 Kuva 5. ModelSimin käynnistys Quartuksesta Kirjoitetaan VHDL-testipenkki Luo uusi VHDL-tiedosto: File -> New -> Design Files -> VHDL File 8.4. Kirjoita VHDL-koodi, esim: library ieee; use ieee.std_logic_1164.all; entity my2xor_tb is end my2xor_tb; architecture arch of my2xor_tb is component my2xor is port( x : in std_logic_vector(1 downto 0); z : out std_logic); end component; signal x_test : std_logic_vector(1 downto 0); signal z_test : std_logic; constant period : time := 10 ns; begin u1 : my2xor port map(x_test, z_test); testi: process

6 Vaasan yliopisto Sivu: 6/9 begin x_test <= "00"; wait for period; x_test <= "01"; wait for period; x_test <= "10"; wait for period; x_test <= "11"; wait for period; wait; end process; end arch; 8.5. Tallenna testipenkki kansioon./simulation/modelsim nimellä my2xor_tb.vhd Muuta asetuksiin, mikä testipenkki on käytössä (Kuva 6): Assignments à Settings à EDA Tool Settings à Simulation: NativeLink setting: Compile test bench. Hae oikea testipenkkitiedosto: Test Benches à New. Anna testipenkin nimeksi: my2xor_tb. Anna top levelin nimeksi:my2xor_tb. Design instance name in test bench: u1. Hae testipenkkitiedostomy2xor_tb.vhd ja paina Add (Katso Kuva 7).. Paina kolmesti OK. Kuva 6. Testipenkin asettaminen asetuksiin.

7 Vaasan yliopisto Sivu: 7/9 Kuva 7. Testipenkin tietojen syöttäminen 8.7. Käynnistetään simulointi (ModelSim ei saa olla auki): Tools à Run EDA Simulation Tool à EDA RTL Simulation. Jos testipenkissä on syntaksivirheitä, niitä voi korjata joko ModelSimissä tai Quartuksessa Paina -merkkiä (zoom out), kunnes kuva on sopiva (Kuva 8). Tarkista simulaation tulos Sulje ModelSim.

8 Vaasan yliopisto Sivu: 8/9 Kuva 8. Simulaation tulos.

9 Vaasan yliopisto Sivu: 9/9 Simulointi on nyt valmis. Seuraavat vaiheet liittyvät FPGA-syntetisointiin. 9. Konfiguroidaan VHDL-kuvauksen porttien ja FPGA-piirin liittimien (nastojen) välinen vastaavuus: 9.1. Assignments -> Pin Planner: (Node name, Location): - (x(0), PIN_N25), - (x(1), PIN_N26), - (z, PIN_AE23). On myös kaksi muuta tapaa määritellä porttien ja FPGA-liittimien vastaavuus, kuin määritellä ne Pin Plannerissä (tapa 1). Tapa 2: VHDL-attribuutit Kirjoitetaan arkkitehtuuriin esim. seuraavasti: attribute chip_pin : string; attribute chip_pin of x : signal is "N26, N25"; attribute chip_pin of z : signal is "AE23"; Tapa 3: csv-tiedosto (comma separated values) csv-tiedosto, jossa määritellään tietyn kehitysalustan FPGA-liittimien nimet löytyy esimerkiksi kehitysalustan mukana tulevalta CD:ltä. Tiedoston voi ladata Quartus-projektiin kohdasta: Assignments à Import Assignments. Tiedostossa annetaan kullekin nastalle VHDL-nimi sekä FPGA-nastan nimi. Jos siis käyttää csvtiedoston mukaisia nimiä Top Level VHDL -entiteetissä, porttien ja nastojen yhteyttä ei tarvitse enää erikseen määrittää. Nyt porttix:n tilalle pitäisi määritelläsw : in std_logic_vector(1 downto 0); ja porttiz:n tilalle pitäisi määritelläledr : in std_logic_vector(0 downto 0); Eli yksittäinen bittikin pitää käsitellä vektorina. 10. Tehdään syntetisoitava käännös: Processing -> Start Compilation. 11. Ohjelmoidaan kuvaus FPGA-piirille: Tools -> Programmer. Valitse Hardware-valikosta USBblaster ja paina Start. 12. Testaa DE2-pöydällä piirin toiminta.

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 5, ratkaisuja s2009 Tehtävien ratkaisussa käytän yhteistä top-level -suunnitteluyksikköä, jonka komponentilla toteutetaan erilaiset piirin topologiat.

Lisätiedot

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja

AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja AUTO3030 Digitaalitekniikan jatkokurssi, harjoitus 2, ratkaisuja s2009 1. D-kiikku Toteuta DE2:lla synkroninen laskukone, jossa lasketaan kaksi nelibittistä lukua yhteen. Tulos esitetään ledeillä vasta,

Lisätiedot

2_1----~--~r--1.~--~--~--,.~~

2_1----~--~r--1.~--~--~--,.~~ K.Loberg FYSE420 DIGITAL ELECTRONICS 3.06.2011 1. Toteuta alia esitetyn sekvenssin tuottava asynkroninen pun. Anna heditefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

21~--~--~r--1~~--~--~~r--1~

21~--~--~r--1~~--~--~~r--1~ - K.Loberg FYSE420 DIGITAL ELECTRONICS 13.05.2011 1. Toteuta alla esitetyn sekvenssin tuottava asynkroninen pun. Anna heratefunktiot, siirtotaulukko ja kokonaistilataulukko ( exitation functions, transition

Lisätiedot

Verilogvs. VHDL. Janne Koljonen University of Vaasa

Verilogvs. VHDL. Janne Koljonen University of Vaasa Verilogvs. VHDL Janne Koljonen University of Vaasa Sälää Huom! Verilogistauseita versioita: 1995, 2001 ja 2005. Kommentit Javasta tutut // ja /* */ ovat kommenttimerkkejä. Case sensitivity Isot ja pienet

Lisätiedot

SSH Secure Shell & SSH File Transfer

SSH Secure Shell & SSH File Transfer SSH Secure Shell & SSH File Transfer TIETOHALLINTO Janne Suvanto 1.9 2002 Sisällysluettelo Sisällysluettelo... 1 Yleistä... 2 SSH Secure Shell ohjelman asetukset... 3 POP3 tunnelin asetukset... 6 Yhteyden

Lisätiedot

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1 TKT-1220 Tietokonearitmetiikka I PC-harjoitus 1 Tehtävä 1: Sekventiaalisen right-shift-kertolaskijan tutkiminen Tämä tehtävä esittelee kahden kertolaskijan eroja FPGA-piirillä. Pyri vastaamaan kysymyksiin

Lisätiedot

Mathcad 14.0 Single User -asennus 12.3.2008

Mathcad 14.0 Single User -asennus 12.3.2008 Mathcad 14.0 Single User -asennus 12.3.2008 Asennuksessa on kaksi vaihetta. Ensin asennetaan ohjelma tietokoneelle (vaiheet 1-3). Sen jälkeen asennetaan lisenssi (vaiheet 4-12). 1. Aseta Mathcad 14 CD-levy

Lisätiedot

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 3

TKT-1220 Tietokonearitmetiikka I PC-harjoitus 3 TKT-1220 Tietokonearitmetiikka I PC-harjoitus 3 NIOS-työ Tässä harjoituksessa mitataan NIOS II prosessorin laskutoimituksiin kuluvaa aikaa eri prosessorin konfiguraatioilla ja operandien datatyypeillä.

Lisätiedot

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä

VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä Pasi Vähämartti TEHTÄVÄT 1(13) VHDL Tehtävä 1 : JK-Kiikku toteutettu IF:llä entity JK_kiikku2 is Port ( J : in std_logic; K : in std_logic; CLK : in std_logic; Reset : in std_logic; Q : out std_logic;

Lisätiedot

Päivitysohje Opus Dental

Päivitysohje Opus Dental Päivitysohje Opus Dental 7.1.460 1. Päivitysohjelman lataaminen Avaa Opus Dental -internetsivu osoitteessa www.opusdental.com. Klikkaa etusivulta Suomen lippua avataksesi suomenkielisen sivuston. Valitse

Lisätiedot

lizengo Asennusopas Windows: in kopioiminen

lizengo Asennusopas Windows: in kopioiminen lizengo Asennusopas Windows: in kopioiminen Windows: in kopioiminen lizengo Asennusopas Klikkaa sitä Windows-versiota, jonka haluat kopioida USB-tikulle, niin pääset suoraan oikeaan oppaaseen. Windows

Lisätiedot

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA

Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Turo Id MIKROPROSESSORIJÄRJESTELMÄN SUUNNITTELU FPGA:LLA Tekniikka 2018 VAASAN AMMATTIKORKEAKOULU Tietotekniikka TIIVISTELMÄ Tekijä Turo Id Opinnäytetyön nimi Mikroprosessorijärjestelmän suunnittelu FPGA:lla

Lisätiedot

Ohjelmistopohjaisen lisenssin käyttö

Ohjelmistopohjaisen lisenssin käyttö 24.11.15 rev. 2 Ohjelmistopohjaisen lisenssin käyttö Yleistä Mastercam on käyttänyt aina suojauspalikkaan sidottuja lisenssejä. Ne ovat suhteellisen helppokäyttöisiä ja lisenssin siirtämiseen ei tarvita

Lisätiedot

Ohjeet asiakirjan lisäämiseen arkistoon

Ohjeet asiakirjan lisäämiseen arkistoon Ohjeet asiakirjan lisäämiseen arkistoon 1. Jos koneellesi ei vielä ole asennettu Open Office ohjelmaa, voit ladata sen linkistä joka löytyy Arkisto => Asiakirjapohjat sivulta seuran kotisivuilta. Jos ohjelma

Lisätiedot

TEHTÄVÄ 1.1 RATKAISUOHJEET

TEHTÄVÄ 1.1 RATKAISUOHJEET TEHTÄVÄ 1.1 RATKAISUOHJEET 1. Luo mallin mukainen kansiorakenne Käynnistä Resurssienhallinta painamalla näppäimistöltä peräkkäin Windows- ja E-näppäimiä niin, että Windows-näppäin on yhä pohjassa, kun

Lisätiedot

Autentikoivan lähtevän postin palvelimen asetukset

Autentikoivan lähtevän postin palvelimen asetukset Autentikoivan lähtevän postin palvelimen asetukset - Avaa Työkalut valikko ja valitse Tilien asetukset - Valitse vasemman reunan lokerosta Lähtevän postin palvelin (SM - Valitse listasta palvelin, jonka

Lisätiedot

1. Kalenterin omistajan käyttöohje

1. Kalenterin omistajan käyttöohje 1. Kalenterin omistajan käyttöohje 1.1. Kielen vaihtamien Ajanvarausjärjestelmässä kielen vaihtaminen tapahtuu painamalla sivun ylälaidassa olevia lippuja. 1.2. Kirjautuminen Kirjautumissivulla käyttäjä

Lisätiedot

INTERBASE 5.0 PÄIVITYS VERSIOON 5.6

INTERBASE 5.0 PÄIVITYS VERSIOON 5.6 1 INTERBASE 5.0 PÄIVITYS VERSIOON 5.6 HUOM: Tämä ohje on tarkoitettu yksittäisen koneen päivittämiseen, mikäli InterBase on asennettu serverille ota yhteys DL Software Tukeen. HUOM: Mikäli koneessasi on

Lisätiedot

Ajokorttimoduuli Moduuli 2. - Laitteenkäyttö ja tiedonhallinta. Harjoitus 1

Ajokorttimoduuli Moduuli 2. - Laitteenkäyttö ja tiedonhallinta. Harjoitus 1 Ajokorttimoduuli Moduuli 2 - Laitteenkäyttö ja tiedonhallinta Harjoitus 1 Tämän harjoituksen avulla opit alustamaan levykkeesi (voit käyttää levykkeen sijasta myös USBmuistitikkua). Harjoitus tehdään Resurssienhallinnassa.

Lisätiedot

Uuden työtilan luonti

Uuden työtilan luonti Uuden työtilan luonti 1. Valitaan Uusi työtila vasemmanpuoleisesta valikosta 2. Valitaan Tyhjä työtila aukeavasta valikosta. Tämä toiminto luo uuden tyhjän työtilan. 3. Kun uusi työtila on luotu, aukeaa

Lisätiedot

Microsoft Outlook 2003 Automaattinen arkistointi

Microsoft Outlook 2003 Automaattinen arkistointi Sivu 1/5 Microsoft Outlook 2003 Automaattinen arkistointi Kaikilla tietohallinnon Outlook Exhange palvelua käyttävillä on määritelty henkilökohtainen postilaatikko jossa on rajoitettu levytilan määrä.

Lisätiedot

Jypelin käyttöohjeet» Ruutukentän luominen

Jypelin käyttöohjeet» Ruutukentän luominen Jypelin käyttöohjeet» Ruutukentän luominen Pelissä kentän (Level) voi luoda tekstitiedostoon "piirretyn" mallin mukaisesti. Tällöin puhutaan, että tehdään ns. ruutukenttä, sillä tekstitiedostossa jokainen

Lisätiedot

BlueJ ohjelman pitäisi löytyä Development valikon alta mikroluokkien koneista. Muissa koneissa BlueJ voi löytyä esim. omana ikonina työpöydältä

BlueJ ohjelman pitäisi löytyä Development valikon alta mikroluokkien koneista. Muissa koneissa BlueJ voi löytyä esim. omana ikonina työpöydältä Pekka Ryhänen & Erkki Pesonen 2002 BlueJ:n käyttö Nämä ohjeet on tarkoitettu tkt-laitoksen mikroluokan koneilla tapahtuvaa käyttöä varten. Samat asiat pätevät myös muissa luokissa ja kotikäytössä, joskin

Lisätiedot

LASERJET PRO 500 COLOR MFP. Pikaopas M570

LASERJET PRO 500 COLOR MFP. Pikaopas M570 LASERJET PRO 500 COLOR MFP Pikaopas M570 USB-pikatulostus 1. Liitä USB-asema laitteen etupaneelin USBporttiin. 2. USB-flash-asema-valikko avautuu. Selaa vaihtoehtoja nuolipainikkeilla. Tulosta asiakirja

Lisätiedot

Sonera Yrityssähköposti. Outlook 2013 lataus ja asennus

Sonera Yrityssähköposti. Outlook 2013 lataus ja asennus Sonera Yrityssähköposti. Outlook 2013 lataus ja asennus Sisältö 1/14 Sonera Yrityssähköpostin käyttöönotto Outlook 2013 -sovelluksella SISÄLLYS Outlook 2013 asennuspaketin lataus... 2 Outlook 2013 asennus...

Lisätiedot

OHJE Jos Kelaimeen kirjautuminen ei onnistu Mac-koneella Sisällys

OHJE Jos Kelaimeen kirjautuminen ei onnistu Mac-koneella Sisällys Sisällys 1 Varmista, että DigiSign-kortinlukijaohjelmisto on käynnissä 2 1.1 DigiSign-kuvake 2 1.2 Sovelluksen käynnistäminen 2 1.3 Kortin toiminnan varmistaminen 4 2 Jos käytät selaimena Mozilla, Firefox

Lisätiedot

Videokuvan siirtäminen kamerasta tietokoneelle Windows Movie Maker -ohjelman avulla

Videokuvan siirtäminen kamerasta tietokoneelle Windows Movie Maker -ohjelman avulla Videokuvan siirtäminen kamerasta tietokoneelle Windows Movie Maker -ohjelman avulla 1. Digivideokamera liitetään tietokoneeseen FireWire-piuhalla. (Liitännällä on useita eri nimiä: myös IEEE 1394, DV,

Lisätiedot

Selaimen asetukset. Toukokuu 2014 1 (7) Selaimen asetukset. 1994-2014 Tikon Oy. All rights reserved.

Selaimen asetukset. Toukokuu 2014 1 (7) Selaimen asetukset. 1994-2014 Tikon Oy. All rights reserved. Toukokuu 2014 1 (7) Selaimen asetukset Toukokuu 2014 2 (7) 1 Johdanto... 3 2 Windows... 3 3 Selaimet... 3 3.1 Yleiset asetukset (kaikki selaimet)... 3 3.1.1 Zoom-asetus... 3 3.1.2 Pop-up Blocker... 3 3.2

Lisätiedot

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ

Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Joni Heikkilä PYROLYYSIGENERAATTORIN AUTOMAATIO-OHJAUS OHJELMOITAVALLA LOGIIKKAPIIRILLÄ Opinnäytetyö KESKI-POHJANMAAN AMMATTIKORKEAKOULU Tietotekniikan koulutusohjelma Kesäkuu 2008 TIIVISTELMÄ OPINNÄYTETYÖSTÄ

Lisätiedot

Windowsia verkkoyhteyksiin käyttäville asiakkaille

Windowsia verkkoyhteyksiin käyttäville asiakkaille Windowsia verkkoyhteyksiin käyttäville asiakkaille Käytettäessä eri käyttöjärjestelmää tai järjestelmäarkkitehtuuria palvelimelle ja asiakkaalle yhteys ei ehkä toimi oikein, kun yhteyden muodostus suoritetaan

Lisätiedot

Topfieldin sarjaporttipäivitystyökalun asennus(rs232)

Topfieldin sarjaporttipäivitystyökalun asennus(rs232) Topfieldin sarjaporttipäivitystyökalun asennus(rs232) Oheinen kuvasarja selitysteksteineen opastaa sinut onnistuneesti päivittämään Topfield -vastaanottimesi. - Lataa sarjaportti -päivitystyökalu -sivustolta

Lisätiedot

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg

ASM-kaavio: reset. b c d e f g. 00 abcdef. naytto1. clk. 01 bc. reset. 10 a2. abdeg. 11 a3. abcdg Digitaalitekniikka (piirit) Metropolia / AKo Pikku nnitteluharjoitus: Suunnitellaan sekvenssipiiri, jolla saadaan numerot juoksemaan seitsensegmenttinäytöllä: VHDL-koodin generointi ASM-kaavioista Tässä

Lisätiedot

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus

Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 1 (57) Kombinaatiopiirin suunnittelu ja toteutus Digitaalitekniikan matematiikka Sivu 2 (57) Harjoitustyön 2 tavoitteet opitaan piirisuunnitteluprosessin vaiheita opitaan

Lisätiedot

Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 IT Juha Nalli 22.12.2015

Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 IT Juha Nalli 22.12.2015 Kirkkopalvelut Office365, Opiskelijan ohje 1 / 17 Oppilaat saavat vuoden 2016 alusta käyttöönsä oppilaitoksen sähköpostin ja muita palveluita Microsoftin Office365:sta. Oppilaiden sähköposti on muotoa

Lisätiedot

VHOPE-sovelluksen ja VHOPE-kirjastotiedostojen asentaminen

VHOPE-sovelluksen ja VHOPE-kirjastotiedostojen asentaminen VHOPE-sovelluksen ja VHOPE-kirjastotiedostojen asentaminen Vaihe 1: Asenna VHOPE PC:hen täytyy asentaa VHOPE-sovellus, ennen kuin USB-muistitikun esitysaineistoa voidaan ryhtyä käyttämään. VCN (Volvo Corporate

Lisätiedot

LUSAS tiedosto-opas. Matti Lähteenmäki 2010 http://home.tamk.fi/~mlahteen/

LUSAS tiedosto-opas. Matti Lähteenmäki 2010 http://home.tamk.fi/~mlahteen/ LUSAS tiedosto-opas 2010 http://home.tamk.fi/~mlahteen/ LUSAS tiedosto-opas 2 1. Johdanto LUSASia käytettäessä esiintyy useita erityyppisiä tiedostoja, joista osan käyttäjä luo ja nimeää itse ja osa syntyy

Lisätiedot

Muistitikun liittäminen tietokoneeseen

Muistitikun liittäminen tietokoneeseen Muistitikun käyttäminen 1 Muistitikun liittäminen tietokoneeseen Muistitikku liitetään tietokoneen USB-porttiin. Koneessa voi olla useita USB-portteja ja tikun voi liittää mihin tahansa niistä. USB-portti

Lisätiedot

AXXION OY. Hosting-palvelut Asiakasohjeistus Versio 1.0

AXXION OY. Hosting-palvelut Asiakasohjeistus Versio 1.0 AXXION OY Hosting-palvelut Asiakasohjeistus Versio 1.0 27.09.2007 1 Yleistä Tämä dokumentti on asiakkaille tarkoitettu ohjeistus Axxion Oy:n toimittamien hosting-palveluiden myymiseksi. Dokumentin aihepiiriin

Lisätiedot

LP-levyn digitointi Audacity-ohjelmalla

LP-levyn digitointi Audacity-ohjelmalla Digitointiohjeita_LP 10.7.2014 1 LP-levyn digitointi Audacity-ohjelmalla I Levyn tallennus tietokoneelle Kytke virta tietokoneeseen ja näyttöön. Levysoitin saa virtansa tietokoneesta. Käynnistä kopiointiohjelma

Lisätiedot

Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita

Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita Miksi SmartView v4.1 ei suostu avaamaan lämpökuvia? Mikäli SmartView-ohjelmiston täysversio 4.1 ladataan suoraan nettisivuilta, jotkin tietokoneet

Lisätiedot

ASIAKASOHJE. 1.1 Ajurin asennus & konfigurointiohje: 1. Kirjoita AutoCadin komentoriville _plottermanager ja paina Enter

ASIAKASOHJE. 1.1 Ajurin asennus & konfigurointiohje: 1. Kirjoita AutoCadin komentoriville _plottermanager ja paina Enter Tulostinajurin ja paperikoon konfigurointiohjeet AutoCad 2010 -ohjelmalla Ohje on tarkoitettu PLT-tiedostojen tekemiseen. PDF-tiedostoja varten on ohjeet erikseen. Erilaisista tulostusjärjestelmistä johtuen

Lisätiedot

Windows Server 2012 asentaminen ja käyttöönotto, Serverin pyörittämisen takia tarvitaan

Windows Server 2012 asentaminen ja käyttöönotto, Serverin pyörittämisen takia tarvitaan Aram Abdulla Hassan Windows Server 2012 asentaminen ja käyttö 1 Windows Server 2012 asentaminen ja käyttöönotto, Serverin pyörittämisen takia tarvitaan Hyper-V ohjelma. Riipu minkälaista Serveria yritämme

Lisätiedot

TIETOKONEASENTAJAN AMMATTITUTKINTO OHJELMISTOJEN KÄYTTÖ JA ASENNUS, ENNAKKOTEHTÄVÄ

TIETOKONEASENTAJAN AMMATTITUTKINTO OHJELMISTOJEN KÄYTTÖ JA ASENNUS, ENNAKKOTEHTÄVÄ TIETOKONEASENTAJAN AMMATTITUTKINTO OHJELMISTOJEN KÄYTTÖ JA ASENNUS, ENNAKKOTEHTÄVÄ MICROSOFT OFFICE -OHJELMISTON PAKOTETTU ASENNUS ORGANISAATIOYKSIKÖN TIETOKONEISIIN Tomi Stolpe Paimion AKK, TVAT-139 28.5.2007

Lisätiedot

Mathcad Flexnet lisenssipalvelimen asennus

Mathcad Flexnet lisenssipalvelimen asennus Mathcad Flexnet lisenssipalvelimen asennus Korjattu 13.01.01 Tärkeää: Ennen lisenssin hakemista tulee luoda PTC tili. Tästä on erillinen ohje, jonka on joko tullut tämän dokumentin yhteydessä tai sen saa

Lisätiedot

Tulostinajurin ja paperikoon konfigurointiohjeet AutoCad 2006-2007 ohjelmille

Tulostinajurin ja paperikoon konfigurointiohjeet AutoCad 2006-2007 ohjelmille Tulostinajurin ja paperikoon konfigurointiohjeet AutoCad 2006-2007 ohjelmille Erilaisista tulostusjärjestelmistä johtuen kopiolaitokset suosittelevat eri tulostinajureita tulostustiedostojen tekemiseen.

Lisätiedot

Laskuharjoitus 9, tehtävä 6

Laskuharjoitus 9, tehtävä 6 Aalto-yliopiston perustieteiden korkeakoulu Jouni Pousi Systeemianalyysin laboratorio Mat-2.4129 Systeemien identifiointi Laskuharjoitus 9, tehtävä 6 Tämä ohje sisältää vaihtoehtoisen tavan laskuharjoituksen

Lisätiedot

PIKAOHJE USEIDEN VASTAANOTTAJIEN LISÄÄMISEEN YHTIÖN JAKELULISTOILLE

PIKAOHJE USEIDEN VASTAANOTTAJIEN LISÄÄMISEEN YHTIÖN JAKELULISTOILLE PIKAOHJE USEIDEN VASTAANOTTAJIEN LISÄÄMISEEN YHTIÖN JAKELULISTOILLE JOHDANTO Tämä pikaohje on suunniteltu auttamaan useiden vastaanottajien lisäämisessä yhtiön jakelulistoille GlobeNewswire-järjestelmässä

Lisätiedot

Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle.

Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle. TEE KONEESTA CD-VARASTO: Kopioi cd-levyt kiintolevylle, niin fyysiset levyt joutavat eläkkeelle. Siirrä cd-levysi TIETOJA Kopioi cd-levyt kiintolevylle levykuviksi, niin pääset sisältöön nopeasti käsiksi

Lisätiedot

SYDÄN-HÄMEEN RASTIT 2017 TULOSPALVELUN OHJEET LAITTEISTO 2. LAITTEISTON VALMISTELU 3. VALMISTELUT ENNEN TAPAHTUMAA

SYDÄN-HÄMEEN RASTIT 2017 TULOSPALVELUN OHJEET LAITTEISTO 2. LAITTEISTON VALMISTELU 3. VALMISTELUT ENNEN TAPAHTUMAA SYDÄN-HÄMEEN RASTIT 2017 TULOSPALVELUN OHJEET 30.4.2017 1. LAITTEISTO - Kannettava tietokone Panasonic CF-29, verkkolaturi, autolaturi ja langaton hiiri. (vara-akku) - Emit 250 -lukijaleimasin ( UUSI USB-lukija)

Lisätiedot

Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä

Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä Tiedonsiirto helposti navetta-automaation ja tuotosseurannan välillä Tiedonsiirto VMS-, Alpro- tai DelProtuotannonohjausjärjestelmästä Ammuohjelmistoon 5/2014 Asennettavat ohjelmat ja versiot VMS-Management

Lisätiedot

Moottorin kierrosnopeus Tämän harjoituksen jälkeen:

Moottorin kierrosnopeus Tämän harjoituksen jälkeen: Moottorin kierrosnopeus Tämän harjoituksen jälkeen: osaat määrittää moottorin kierrosnopeuden pulssianturin ja Counter-sisääntulon avulla, osaat siirtää manuaalisesti mittaustiedoston LabVIEW:sta MATLABiin,

Lisätiedot

MITEN KIRJAUDUN ADOBE CONNECTIIN?

MITEN KIRJAUDUN ADOBE CONNECTIIN? Connect-ohje oppilaalle MITEN KIRJAUDUN ADOBE CONNECTIIN? 1. Avaa internet-selain ja kirjoita osoiteriville virtuaaliluokkasi osoite, esim. http://tampere.adobeconnect.com/virta ja paina Enter: Luokkien

Lisätiedot

Väylään liitettävä laite: Pheonix Contact ILB PB DI8 DIO8

Väylään liitettävä laite: Pheonix Contact ILB PB DI8 DIO8 Väylään liitettävä laite: Pheonix Contact ILB PB DI8 DIO8 Laite on DP-väylään kytketkettävä Digitaalinen 16-porttinen IO-moduuli. Porteista 8 on Inputteja ja toiset 8 valittavissa inputeksi tai outputeiksi.

Lisätiedot

Automaattitilausten hallinta. Automaattitilauksien uudistettu käsittely

Automaattitilausten hallinta. Automaattitilauksien uudistettu käsittely Automaattitilausten hallinta Raportit Saat yhteenvedon voimassa olevista automaattitilauksista ja automaatti-ilmoituksista hakemistopuun Raportit-valikon alta. PDF-napista voit ladata koneellesi yhteenvedon

Lisätiedot

SuomiCom-sähköpostiasetukset Microsoft Outlook 2016

SuomiCom-sähköpostiasetukset Microsoft Outlook 2016 SuomiCom-sähköpostiasetukset Microsoft Outlook 2016 Nämä ohjeet on suunnattu Microsoft Outlook 2016 -ohjelmalle, mutta ohje todennäköisesti toimii suuntaa-antavana myös vanhemmille versioille. Kuvat ovat

Lisätiedot

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 Tässä osassa suunnitellaan 1. asteen Σ muunnin SC tekniikkaa hyväksikäyttäen. Muuntimen ideaalisen mallin toiminta varmistetaan Cadence simuloinnilla. Tavoitteet:

Lisätiedot

1 Tivax Professional 4.5

1 Tivax Professional 4.5 Tivax Professional 4.5 1 1 Tivax Professional 4.5 1.1 Tivax ohjelman asentaminen TivaxProfessional versio 4.5 asennetaan joko CD:ltä tai lataamalla asennustiedosto Internetistä. Asennus CD:ltä: Asennusohjelma

Lisätiedot

Pikaohje Aplisens APIS type 1X0 ja 2XO

Pikaohje Aplisens APIS type 1X0 ja 2XO Pikaohje Aplisens APIS type 1X0 ja 2XO Koivupuistontie 26, 01510, Vantaa www.saato.fi, sales@saato.fi, 09-759 7850 Sisällys 1. Yleistä...3 2. Parametritilan toiminnot...4 3. Käyttöönotto pikaohje...5 1.

Lisätiedot

Tilastokeskuksen rajapintapalveluiden käyttöönotto QGISohjelmistossa

Tilastokeskuksen rajapintapalveluiden käyttöönotto QGISohjelmistossa 1(13) Tilastokeskuksen rajapintapalveluiden käyttöönotto QGISohjelmistossa (QuantumGIS) Ohjeita laatiessa on käytetty QuantumGIS:n versiota 2.0.1. Ruudunkaappauskuvat ovat englanninkielisestä versiosta,

Lisätiedot

Tikon Web-sovellukset

Tikon Web-sovellukset Marraskuu 2014 1 (9) Tikon Web-sovellukset Marraskuu 2014 2 (9) 1 Johdanto... 3 2 Windows... 3 2.1 Microsoft Silverlight... 3 3 Tablet-laitteet... 4 4 Selaimet... 5 4.1 Yleiset asetukset (kaikki selaimet)...

Lisätiedot

TEHTÄVÄ 4: Microsoft Windows Deployment Services asennus ja hallinta

TEHTÄVÄ 4: Microsoft Windows Deployment Services asennus ja hallinta TEHTÄVÄ 4: Microsoft Windows Deployment Services asennus ja hallinta Windows Deployment Services, WDS Käyttöjärjestelmän asennus työasemalle Dynamic Host Configuration Protocol, DHCP * Domain Name System,

Lisätiedot

Machine Control Studio - Kuinka päästä alkuun. Ohjelmointiympäristö Unidrive M ja MCi2x0 laitteille

Machine Control Studio - Kuinka päästä alkuun. Ohjelmointiympäristö Unidrive M ja MCi2x0 laitteille Machine Control Studio - Kuinka päästä alkuun Ohjelmointiympäristö Unidrive M ja MCi2x0 laitteille Mistä aloittaa? Machine Control Studion lataaminen ja asennus. MCS käynnistys ja uuden projektin luonti.

Lisätiedot

Verkkosivut perinteisesti. Tanja Välisalo 11.2.2009

Verkkosivut perinteisesti. Tanja Välisalo 11.2.2009 Verkkosivut perinteisesti Tanja Välisalo 11.2.2009 WWW-sivujen vieminen omaan kotisivutilaan yliopiston mikroverkossa https://salasana.jyu.fi Klikkaa painiketta Activate WWW Klikkaa painiketta Activate

Lisätiedot

LP-Levyn digitointi tiedostoksi

LP-Levyn digitointi tiedostoksi LP-Levyn digitointi tiedostoksi ION LP2CD- laitteella voit kopioida LP-levysi tiedostoiksi. LP-Levyn digitointi kestää saman ajan kuin levyn toisto tavallisestikin, lisäksi voit muokata tallennetta joko

Lisätiedot

HP ProBook 430 G5 kannettavien käyttöönotto

HP ProBook 430 G5 kannettavien käyttöönotto HP ProBook 430 G5 kannettavien käyttöönotto Windows 10:n asennus, päivitysten tarkistus ja Abitti-asetukset Kytke tietokone verkkovirtaan ennen koneen käynnistämistä! Windows 10 Home käyttöjärjestelmän

Lisätiedot

1 Muutokset piirilevylle

1 Muutokset piirilevylle 1 Muutokset piirilevylle Seuraavat muutokset täytyvät olla piirilevylle tehtynä, jotta tätä käyttöohjetta voidaan käyttää. Jumppereiden JP5, JP6, JP7, sekä JP8 ja C201 väliltä puuttuvat signaalivedot on

Lisätiedot

NC-ohjelman tekeminen Catiassa

NC-ohjelman tekeminen Catiassa NC-ohjelman tekeminen Catiassa - Käynnistä Catia V5 R21 Koska mikroluokan TF331 koneissa ei ole Catian vaatimia postprosessoritiedostoja ja ohjelmia, tehdään postprosessoriajot Catian mukana tulleilla

Lisätiedot

ZyXEL VMG1312 ja 3G/4G-mokkulat

ZyXEL VMG1312 ja 3G/4G-mokkulat ZyXEL VMG1312 ja 3G/4G-mokkulat VMG1312-modeemi voi käyttää USB-porttiin liitettyjä 3G/4G-tikkuja nettiyhteyden muodostamiseen ja jakaa tämän yhteyden kaikille kotiverkon käyttäjille. Tämän toiminnan käyttöön

Lisätiedot

ClassPad fx-cp400 OS2-päivitys. + Manager-ohjelmisto ja Physium-sovellus

ClassPad fx-cp400 OS2-päivitys. + Manager-ohjelmisto ja Physium-sovellus ClassPad fx-cp400 OS2-päivitys + Manager-ohjelmisto ja Physium-sovellus Käyttöjärjestelmän ja Add-in sovellusten päivityksestä Casio suosittelee aina viimeisimmän käyttöjärjestelmän asentamista. Tällöin

Lisätiedot

Pedanet oppilaan ohje Aleksanteri Kenan koulu Eija Arvola

Pedanet oppilaan ohje Aleksanteri Kenan koulu Eija Arvola Pedanet oppilaan ohje Aleksanteri Kenan koulu Eija Arvola 26.8.2016 SISÄLLYSLUETTELO 1. Omat asetukset kuntoon (kaikkien tehtävä aluksi) sivut 3-5 2. Tärkeiden sivujen tilaaminen omiin linkkeihin sivut

Lisätiedot

SYDÄN-HÄMEEN RASTIT 2015. TULOSPALVELUN OHJEET v.2 1. LAITTEISTO 2. LAITTEISTON VALMISTELU 3. VALMISTELUT ENNEN TAPAHTUMAA

SYDÄN-HÄMEEN RASTIT 2015. TULOSPALVELUN OHJEET v.2 1. LAITTEISTO 2. LAITTEISTON VALMISTELU 3. VALMISTELUT ENNEN TAPAHTUMAA SYDÄN-HÄMEEN RASTIT 2015 TULOSPALVELUN OHJEET v.2 1. LAITTEISTO - Kannettava tietokone Panasonic CF-29, verkkolaturi, autolaturi ja langaton hiiri. (vara-akku) - Emit 250 -lukijaleimasin - MTR4-kortinlukija

Lisätiedot

Ennen kuin aloitat lataamisen tarkista järjestelmävaatimukset: http://www.fprot.com/support/windows/fpwin_faq/425.html

Ennen kuin aloitat lataamisen tarkista järjestelmävaatimukset: http://www.fprot.com/support/windows/fpwin_faq/425.html Lataaminen ja asennus F-PROT Antivirus 6 Windows Home Huomautukset: Ennen kuin aloitat lataamisen tarkista järjestelmävaatimukset: http://www.fprot.com/support/windows/fpwin_faq/425.html F-PROT Antivirus

Lisätiedot

Ohjeisto Trimble Pro 6H yhdistämisestä Juno 5:een

Ohjeisto Trimble Pro 6H yhdistämisestä Juno 5:een Liite 4 1(19) KEMIN ENERGIA Ohjeisto Trimble Pro 6H yhdistämisestä Juno 5:een Janne Pirttimaa 12.2.2013 Liite 4 2(19) SISÄLLYSLUETTELO 1 Yhdistäminen bluetoothilla... 3 2. Ongelmatilanteet ja ratkaisut...

Lisätiedot

Väriprofiili. Valitse Europe Prepress 3 ja paina Apply

Väriprofiili. Valitse Europe Prepress 3 ja paina Apply Väriprofiili Väriprofiilin suosittelen olevan Cretive Suite Color Setting- kohdasta seuraavasti: Europe Prepress 3, kun tekee valmiin aineiston painoa varten. Tällöin kaikkien Adoben ohjelmien väriasetukset

Lisätiedot

CADS Planner Electric perusteet

CADS Planner Electric perusteet CADS Planner Electric perusteet Aloitus Ohjelman avaaminen, alkuasetukset Tasokuvat piirretään aina Suunnitteluu tilaan oikeilla mitoilla. Kuvalle annetaan myös mittakaavatiedot tulostusta varten, sekä

Lisätiedot

Transkribuksen pikaopas

Transkribuksen pikaopas Transkribuksen pikaopas Transkribus on alusta, jolla voi puhtaaksikirjoittaa haluamaansa aineistoa ja automaattisesti tunnistaa käsinkirjoitettua tekstiä. Sitä käyttääkseen täytyy rekisteröityä. Tässä

Lisätiedot

CLOUDBACKUP TSM varmistusohjelmiston asennus

CLOUDBACKUP TSM varmistusohjelmiston asennus Luottamuksellinen JAVERDEL OY CLOUDBACKUP TSM varmistusohjelmiston asennus Copyright 2 (9) SISÄLLYSLUETTELO 1 ASENNUSOHJE WINDOWS KÄYTTÖJÄRJESTELMÄLLÄ VARUSTETTUIHIN LAITTEISIIN... 3 1.1 Yleistä... 3 1.2

Lisätiedot

AALTO-VALVONTALAITE TST5102

AALTO-VALVONTALAITE TST5102 AALTO-VALVONTALAITE TST5102 KONFIGUROINTI- JA KÄYTTÖOPAS SISÄLLYSLUETTELO 1. JOHDANTO... 3 2. VALVONTALAITTEEN ASENNUS... 3 3. VALVONTALAITTEEN KONFIGUROINTI HYPERTERMINAL-OHJELMALLA... 3 3.1 Valaisimien

Lisätiedot

LASERJET ENTERPRISE 500 COLOR MFP. Pikaopas M575

LASERJET ENTERPRISE 500 COLOR MFP. Pikaopas M575 LASERJET ENTERPRISE 500 COLOR MFP Pikaopas M575 Tallennetun työn tulostaminen Seuraavien ohjeiden mukaan toimimalla voit tulosta laitteen muistiin tallennetun työn. 1. Selaa ohjauspaneelin aloitusnäytössä

Lisätiedot

Salasanojen turvallinen tallentaminen KeePass ohjelmalla

Salasanojen turvallinen tallentaminen KeePass ohjelmalla Salasanojen turvallinen tallentaminen KeePass ohjelmalla KeePass on vapaasti saatavilla oleva, avoimen lähdekoodin ohjelma, jonka tarkoituksena on auttaa salasanojen hallinnassa. Tämä KeePass ohje on päivitetty

Lisätiedot

JAKELUPISTE KÄYTTÖOHJE 2/6

JAKELUPISTE KÄYTTÖOHJE 2/6 käyttöohjeet JAKELUPISTE KÄYTTÖOHJE 2/6 1. Esittely JakeluPiste on helppo ja yksinkertainen ratkaisu tiedostojen lähettämiseen ja vastaanottamiseen. Olipa kyseessä tärkeä word dokumentti tai kokonainen

Lisätiedot

ArchiCad:istä Inventoriin ja NC-jyrsin mallin teko

ArchiCad:istä Inventoriin ja NC-jyrsin mallin teko ArchiCad:istä Inventoriin ja NC-jyrsin mallin teko Huomattavaa! Kun tallennat archicad:issä Stl tiedoston tarkasta että mallisi on oikeassa mittakaavassa (esim. mikäli ArchiCad malli mallinnettu metrimittakaavassa

Lisätiedot

Tikon Web-sovellukset

Tikon Web-sovellukset Toukokuu 2015 1 (11) Tikon Web-sovellukset Toukokuu 2015 2 (11) 1 Johdanto... 3 2 Silverlight sovellukset... 3 2.1 Windows... 3 2.1.1 Microsoft Silverlight... 3 2.1.2 Tablet-laitteet... 4 2.1.3 Selaimet...

Lisätiedot

Viva-16. Käyttöohje. 1.4.2009 Veikko Nokkala Suomen Videovalvonta.com

Viva-16. Käyttöohje. 1.4.2009 Veikko Nokkala Suomen Videovalvonta.com Viva-16 Käyttöohje 1.4.2009 Veikko Nokkala Sisällysluettelo Sisällysluettelo... 2 Ohjelmisto käyttöliittymä... 3 Asentaminen... 3 Käyttöönotto... 3 Katselu... 6 Tallennus... 8 Toistaminen... 9 Selain käyttöliittymä...

Lisätiedot

SQL Buddy JAMK Labranet Wiki

SQL Buddy JAMK Labranet Wiki Page 1 of 9 SQL Buddy JAMK Labranet Wiki Sisällysluettelo Yleistä SQL Buddy:sta kotisivu :http://sqlbuddy.com/ SQL Buddy on kevyt hallintatyökalu MySQL-tietokannalle. Järjestelmävaatimukset Serverin vaatimukset

Lisätiedot

Miten siirrän omat työni Office 365:stä Peda.nettiin sekä jaan sen siellä muille Eija Arvola

Miten siirrän omat työni Office 365:stä Peda.nettiin sekä jaan sen siellä muille Eija Arvola Miten siirrän omat työni Office 365:stä Peda.nettiin sekä jaan sen siellä muille Eija Arvola 16.12.2017 UUDEN SIVUN LUOMINEN OMAAN TILAAN Jos haluat tallentaa omia töitäsi Peda.nettiin, sinun pitää luoda

Lisätiedot

Asennuksessa kannattaa käyttää asennusohjelman tarjoamia oletusarvoja.

Asennuksessa kannattaa käyttää asennusohjelman tarjoamia oletusarvoja. 1 1 Tivax Laskutus 4.5 1.1 Tivax ohjelman asentaminen TivaxLaskutus version 4.5 asentamiseksi on oltava asennus CD. Asennusohjelma käynnistetään tuplaklikkamalla asennus CD:llä olevaa Tivax45LaskuSetup.exe

Lisätiedot

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1

1 Asentaminen. 2 Yleistä ja simuloinnin aloitus 12/2006 1.1.1 1 Asentaminen...2 2 Yleistä ja simuloinnin aloitus...2 2.1 PI-säätimet...3 2.2 Trendit...4 3 Lämpölaitoksen ohjaus...5 4 Voimalan alkuarvojen muuttaminen...6 5 Tulostus...8 6 Mahdollisia ongelmia...8 6.1

Lisätiedot

Tämä ohje on laadittu Mozilla Firefoxin asetuksille versiossa 27.0.1

Tämä ohje on laadittu Mozilla Firefoxin asetuksille versiossa 27.0.1 Mozilla Firefox 17.2.2014 Tämä ohje on laadittu Mozilla Firefoxin asetuksille versiossa 27.0.1 Mac- käyttäjille suunnattuja erityishuomioita ohjeen lopussa. Selaimesta on aina suositeltavaa käyttää uusinta

Lisätiedot

HARJOITUS 2: Käyttäjien ja käyttäjäryhmien luominen, Active Directory Users and Computers

HARJOITUS 2: Käyttäjien ja käyttäjäryhmien luominen, Active Directory Users and Computers HARJOITUS 2: Käyttäjien ja käyttäjäryhmien luominen, AD Users and Computers Domain Name System, DNS * Active Directory, AD * Windows Server 2008 * * Tehtävä 1 Tomi Stolpe Turun AKK 11.11.2009 SISÄLLYSLUETTELO

Lisätiedot

Selaimen ja Netikka-yhteyden asennus. Netikka.netin asennus

Selaimen ja Netikka-yhteyden asennus. Netikka.netin asennus Taloyhtiöliittymän käyttöönotto Taloyhtiöliittymä toteutetaan joko HomePNA- tai Ethernet-tekniikalla. Jos et tiedä, millä tekniikalla taloyhtiösi liittymä on toteutettu, tarkista asia VLP:n asiakaspalvelusta.

Lisätiedot

Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi

Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi Flowcode 6 Omien komponenttien luonti 3D- tilassa Ledi Oman painonappi komponentin luonti 6 versiossa Flowcode 6 versio mahdollistaa omien Flowcode komponenttien tekemisen. Komponentit on mahdollista piirtää

Lisätiedot

TTY TKT-1110 Mikroprosessorit TKT. HEW-ohjeet ver 1.0

TTY TKT-1110 Mikroprosessorit TKT. HEW-ohjeet ver 1.0 Johdanto Nämä ohjeet opastavat sinut tekemään kurssiin TKT-1110 Mikroprosessorit liittyvät harjoitustyöt. Ohjeet sisältävät kolme osiota. Ensimmäisenä esitellään projektin luonti, mikä tehdään ainoastaan

Lisätiedot

Pikaohje formaatin valmistamiseen

Pikaohje formaatin valmistamiseen Pikaohje formaatin valmistamiseen Esko Otava Oy ver.1.0 Pääikkunasta (tulostusikkunasta) valitaan Cards-Show cards manager Paina Add 2 Paina New Kortinsuunnitteluikkuna on jaettu kolmeen osaan: Vasemmalla

Lisätiedot

Kahoot! Kirjautuminen palveluun. Sinikka Leivonen

Kahoot! Kirjautuminen palveluun. Sinikka Leivonen Kahoot! Kahoot! on internetselaimessa toimiva sovellus, jonka avulla voit pitää pieniä testejä/kokeita tai kysellä mielipiteitä. Testeihin liittyy myös pelillisyys, sillä eniten pisteitä saanut voittaa.

Lisätiedot

McAfee VirusScan Enterprice 7.0.0 asennus

McAfee VirusScan Enterprice 7.0.0 asennus 1 McAfee VirusScan Enterprice 7.0.0 asennus Asennuksen vaiheet: 1. McAfee VirusScan Enteprice 7.0.0 asennus 2. McAfee VirusScan Enteprice 7.0.0 On-Access Scan:n konfigurointi 3. Automaattisten päivitysten

Lisätiedot

Mainosankkuri.fi-palvelun käyttöohjeita

Mainosankkuri.fi-palvelun käyttöohjeita Mainosankkuri.fi-palvelun käyttöohjeita Sisällys 1. Johdanto... 1 2. Sisäänkirjautuminen... 1 3. Palvelussa navigointi... 2 4. Laitteet... 2 5. Sisällönhallinta... 4 6. Soittolistat... 7 7. Aikataulut...

Lisätiedot

STL:n luonti IronCADillä

STL:n luonti IronCADillä STL:n luonti IronCADillä STL-tiedoston luonti IronCADilla etenee seuraavasti: 1. Avataan haluttu kappale IronCADilla. 2. Kappaletta napsautetaan hiiren oikealla näppäimellä ja valitse pudotusvalikosta

Lisätiedot