HyperLynx BoardSim -ohjelman päävalikko: Ohjelmaan on valittu jo työ, piirilevyjohdin ja oskilloskooppimittauksen mittapisteitä.

Koko: px
Aloita esitys sivulta:

Download "HyperLynx BoardSim -ohjelman päävalikko: Ohjelmaan on valittu jo työ, piirilevyjohdin ja oskilloskooppimittauksen mittapisteitä."

Transkriptio

1 HYPERLYNX BOARDSIM H. Honkanen Hyperlynx BoardSim on simulaattoriohjelma, jolla voidaan suunnitellulle piirilevylle tehdä signaalivääristymä-, ylikuulumis- ja EMC-säteily simulaatiomittaukset Käyttö: PADS:lla suunniteltu piirilevy (.PCB) tulee kääntää HyperLynx:n formaattiin (.HYP ), Käännös onnistuu PADS PowerPCB:ssä seuraavasti: Tools -> BoardSIM. Tämän jälkeen valitaan käytettävä kansio ja valitaan, käynnistetäänkö hyperlynx. Simulaattori perustuu 2D-analyysiin, ja analyysin onnistuminen vaatii, että piirilevyllä on ainakin yksi maataso. Ohjelmiston peruskäyttö on aika helppoa, mutta tarkan simulaation tekeminen piirilevyn rakenne-, piirien tuloimpedanssi- ja lähtöimpedanssimäärittelyineen on aika työlästä. Ohjelmistolla on helppo kokeilla sovituksen ( = Terminointien ) vaikutusta signaalivääristymiin ja häiriösäteilyyn. Hyperlynx LineSim on simulaattori, jota voidaan käyttää johdotuksen suunnittelussa kriittisimpien signaalien osalta. Tätä emme käsittele tässä luentomonisteessa. HyperLynx BoardSim -ohjelman päävalikko: Ohjelmaan on valittu jo työ, piirilevyjohdin ja oskilloskooppimittauksen mittapisteitä Uusi Kytkentä ZOOM Terminator Wizard Käynnistys: HyperLynx BoardSim NET Piirilevyjohtimen valinta Spektrianalysaattori -Analyysi Muunnos:.PCB.HYP Käynnistys: HyperLynx LineSim COMP Komponentin valinta Oskilloskooppi -Analyysi Quick Terminator Terminoinnin lisäys

2 Piirilevymäärittelyt aktivoidaan piirilevyikonista Mitattavan signaalitien ( = Piirilevyfolion ) saa valittua aktivoimalla NET ikonin:

3 Kirjastokomponenteille on yleensä luotu valmiiksi tarvittavat tiedot tulo- ja lähtöasteista, joita HyperLynx hyödyntää: Luoduille komponenteilla tulo- ja lähtöasteen malli tulee antaa. Select IC model valinnalla päästään antamaan malli. Malliksi käy yleisimmät simuloinnissa käytetyt mallit [ mm. SPICE, IBIS ], tai komponentille voidaan asettaa yleismalli [ GENERIC MOD ] tai rakenteellinen yleismalli [ TECH MOD ]

4 OSKILLOKOOPPIANALYYSI ( Signaalin vääristymämittaus ) Oskilloskooppianalyysin mittapisteiden valinta: Tätä ennen on pitänyt aktivioda Open Oscilloscope/Simulation ikoni ja valita oskilloskooppiikkunasta Probes -ikoni. Aaltomuodon valintana (Driver Waveform) tässä EDGE (= Reuna) Oskilloskooppianalyysin tulos: ( Suoritettu nousevalle ja laskevalle reunalle ) Kuvassa EMC-analyysi kellosignaalille 33 MHz:n kellotaajuudella:

5 SPEKTRIANALYYSI ( Säteilymittaus ) Antennimäärittelyt tehdään: Simulate -> Attach spectrum analyzer probe Tätä ennen on pitänyt valita Oper Spectrum Analyzer/Simulator ikoni, asettaa taajuus signaaligeneraattoriin ( Driver waveform ) ja määrittää signaalin nousunopeus

6 Kuvassa EMC-analyysi 166 MHz:n kellotaajuudella: KOMPONENTIN IMPEDANSSIMÄÄRITTELYT Edit -> Databook IC models valinnalla pääsee käsittelemään komponenttien ominaisuuksia:

7 Terminointi ( = impedanssisovitus ) komponentteja voi lisätä aktivoimalla Select a Quick Terminator ikonin: Terminoinneista saa myös raportin + ohjeita Terminator Wizardin avulla: Saa päälle aktivoimalla Open Termination Wizard ikonin

8 Laajemman kokonaisuuden ( koko piirilevyn ) säteilyominaisuuksien tutkiminen onnistuu seuraavasti. Tästä saadaan tekstiraportti. 1. Aktivoidaan: Run Board Wizard -> v Run EMC simulations on selected nets-> Open 2. Asetetaan nousunopeusmäärittely ja antenniasetus, valitaan: Nets Spreadsheet

9 3. Määritellään piirilevyn signaaalimäärittelyt, sitten OK ja seuraava 4. Sitten vielä tarkennetaan piirien lähtöasteiden ominaisuuksia:

10 5. Seuraava-> seuraava. lopputuloksena raportti: Ohjelmiston saatavuus: Demoversion voi ladata valmistajan kotisivulta. Vaatii rekisteröitymisen. Lisätietoa ohjelmasta myös maahantuojan, DesignSystemsin, nettisivuilta. Linkit:

IsoCAM ohjelmisto ja BUNGARD -piirilevyjyrsin

IsoCAM ohjelmisto ja BUNGARD -piirilevyjyrsin H. Honkanen / M.Karppinen IsoCAM ohjelmisto ja BUNGARD -piirilevyjyrsin IsoCAM -> Avaa IsoCAM -> File -> Load Files (F3) [ Siirretään PADS :sta saadut tiedostot ] Huom! Tiedostoista siirretään vain : art

Lisätiedot

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet

TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet TIETOKONETEKNIIKAN LABORAATIOT V2.0 VHDL ohjelmoinnin perusteet Työ: VHDL ohjelmoinnin perusteet & Quartus II ohjelmiston käyttöönotto Tehnyt: Kari Huovinen Pvm: 26.4.2006 Lisäyksiä: Harri Honkanen 13.09.2007

Lisätiedot

PIIRILEVYJOHTIMEN AALTOIMPEDANSSIN MÄÄRITTÄMINEN

PIIRILEVYJOHTIMEN AALTOIMPEDANSSIN MÄÄRITTÄMINEN IMPEDANSSISOVITUKSET H. Honkanen Jokainen piirilevyjodinan on samalla myös siirtolinja. Siirtolinjan emittoivaa vaikutusta voidaan merkittävästi pienentää sovittamalla siirtolinja. Tällä on merkitystä

Lisätiedot

1 f o. RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET. U r = I. t τ. t τ. 1 f O. KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala

1 f o. RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET. U r = I. t τ. t τ. 1 f O. KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala TYÖ 7 ELEKTRONIIKAN LABORAATIOT H.Honkanen RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET TYÖN TAVOITE - Mitoittaa ja toteuttaa RC oskillaattoreita

Lisätiedot

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla

VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Vaasan yliopisto Sivu: 1/9 VHDL-piirikuvaus ja simulointi Quartus II ja ModelSim Altera Edition -ohjelmilla Tässä dokumentissa opastetaan, miten -kurssin VHDLtehtävissä tarvittavia ohjelmia käytetään.

Lisätiedot

MICRO-CAP: in lisäominaisuuksia

MICRO-CAP: in lisäominaisuuksia MICRO-CAP: in lisäominaisuuksia Jännitteellä ohjattava kytkin Pulssigeneraattori AC/DC jännitelähde ja vakiovirtageneraattori Muuntaja Tuloimpedanssin mittaus Makrot mm. VCO, Potentiometri, PWM ohjain,

Lisätiedot

EASY Tiedostopalvelin Käyttöopas

EASY Tiedostopalvelin Käyttöopas EASY Tiedostopalvelin Käyttöopas Windows Client www.storageit.fi - Äyritie 8 D, 01510 VANTAA Salorantie 1, 98310 KEMIJÄRVI Sisältö 1. Asennus 2. Yhdistäminen pilveen - Tiedostojen siirto - Paikallisten

Lisätiedot

TKT-3500: PADS ohje. 8/13/2008 TKT-3500 PADS ohje Heikki Hurskainen ja Juha Onkila

TKT-3500: PADS ohje. 8/13/2008 TKT-3500 PADS ohje Heikki Hurskainen ja Juha Onkila TKT-3500: PADS ohje 8/13/2008 TKT-3500 PADS ohje ja Juha Onkila 1 Mistä PADS? Luokassa TC419 käytössä (harjoituksissa) PADS 2005 SP2 Ilmaiseksi netistä kotikoneelle (Windows) Uusin evaluation versio (30

Lisätiedot

SÄHKÖMAGNEETTINEN KYTKEYTYMINEN

SÄHKÖMAGNEETTINEN KYTKEYTYMINEN SÄHKÖMAGNEETTINEN KYTKEYTYMINEN H. Honkanen SÄHKÖMAGNEETTISEN KYTKEYTYMISEN TEORIAA Sähkömagneettinen kytkeytyminen on häiiöiden siitymistä sähkömagneettisen aaltoliikkeen välityksellä. Sähkömagneettisen

Lisätiedot

Näin saat 365 päivän ajalta Freshest Data sisältöä NavionicsWeb Store nettikaupasta

Näin saat 365 päivän ajalta Freshest Data sisältöä NavionicsWeb Store nettikaupasta Näin saat 365 päivän ajalta Freshest Data sisältöä NavionicsWeb Store nettikaupasta April 2012 Näin pääset alkuun: Tämä dokumentti kuvaa kuinka saat Navionics Freshest Data päivityksen ensimmäistä kertaa.

Lisätiedot

Radioamatöörikurssi 2018

Radioamatöörikurssi 2018 Radioamatöörikurssi 2018 Polyteknikkojen Radiokerho Mittalaitteet 15.11.2018 Juha, OH2EAN 1 / 28 Illan aiheet Yleisimmät mittalaitteet Radioamatööreille tärkeitä laitteita 2 / 28 Miksi mittalaitteita?

Lisätiedot

1 db Compression point

1 db Compression point Spektrianalysaattori mittaukset 1. Työn tarkoitus Työssä tutustutaan vahvistimen ja mixerin perusmittauksiin ja spektrianalysaattorin toimintaan. 2. Teoriaa RF- vahvistimen ominaisuudet ja käyttäytyminen

Lisätiedot

Lataa Tuoremehuterapia - Thorsons Edit. Board. Lataa

Lataa Tuoremehuterapia - Thorsons Edit. Board. Lataa Lataa Tuoremehuterapia - Thorsons Edit. Board Lataa Kirjailija: Thorsons Edit. Board ISBN: 9789519413433 Sivumäärä: 152 Formaatti: PDF Tiedoston koko: 34.79 Mb Kustantajan kuvausteksti kirjasta puuttuu.

Lisätiedot

MITTAUSTEKNIIKAN LABORATORIOTYÖOHJE TYÖ 9 MITTAUSTIEDON KERUU JA KÄSITTELY

MITTAUSTEKNIIKAN LABORATORIOTYÖOHJE TYÖ 9 MITTAUSTIEDON KERUU JA KÄSITTELY OAMK / Tekniikan yksikkö MITTAUSTEKNIIKAN LABORATORIOTYÖOHJE TYÖ 9 MITTAUSTIEDON KERUU JA KÄSITTELY Heikki Kurki TEHTÄVÄN MÄÄRITTELY TEORIA VÄLINEET Työn tehtävänä on tutustua mittausjärjestelmään, jossa

Lisätiedot

1 Muutokset piirilevylle

1 Muutokset piirilevylle 1 Muutokset piirilevylle Seuraavat muutokset täytyvät olla piirilevylle tehtynä, jotta tätä käyttöohjetta voidaan käyttää. Jumppereiden JP5, JP6, JP7, sekä JP8 ja C201 väliltä puuttuvat signaalivedot on

Lisätiedot

Fiery Driver Configurator

Fiery Driver Configurator 2015 Electronics For Imaging, Inc. Tämän julkaisun tiedot kuuluvat tämän tuotteen Lakisääteisien ilmoitusten piiriin. 16. marraskuuta 2015 Sisällys 3 Sisällys Fiery Driver Configurator...5 Järjestelmävaatimukset...5

Lisätiedot

LABORATORIOTYÖ 2 A/D-MUUNNOS

LABORATORIOTYÖ 2 A/D-MUUNNOS LABORATORIOTYÖ 2 A/D-MUUNNOS 2-1 2. A/D-muunnos Työn tarkoitus Tässä työssä demotaan A/D-muunnoksen ominaisuuksia ja ongelmia. Tarkoitus on osoittaa käytännössä, miten bittimäärä ja näytteenottotaajuus

Lisätiedot

Vinkkejä Balsamiq Mock-Up työkalun käyttöön

Vinkkejä Balsamiq Mock-Up työkalun käyttöön Vinkkejä Balsamiq Mock-Up työkalun käyttöön Tämä ohje ei ole Balsamiqin yleinen käyttöohje, mutta tässä kerrotaan miten Balsamiqia tulisi käyttää silloin kun malleissa halutaan käyttää yhteisiä pohjia.

Lisätiedot

KIITOS RICA OPTIMOINTIOHJELMAN VALITSEMISESTA

KIITOS RICA OPTIMOINTIOHJELMAN VALITSEMISESTA KIITOS RICA OPTIMOINTIOHJELMAN VALITSEMISESTA Asennusohjeiden sisältö 1. RICA optimointiohjelmoinnin periaate isoftloaderilla... 2 2. RICA isoftloader toimituspaketin sisältö... 3 3. isoftloader Sync-ohjelmiston

Lisätiedot

2. DC-SWEEP, AC-SWEEP JA PSPICE A/D

2. DC-SWEEP, AC-SWEEP JA PSPICE A/D 11 2. DC-SWEEP, AC-SWEEP JA PSPICE A/D Oleellista sweep -sovelluksissa on se, että DC-sweep antaa PSpice A/D avulla graafisia esityksiä, joissa vaaka-akselina on virta tai jännite, AC-sweep antaa PSpice

Lisätiedot

Kuinka ladata tulostusprofiilit Antalis ICC Profile Centrestä

Kuinka ladata tulostusprofiilit Antalis ICC Profile Centrestä KÄYTTÖOPAS Kuinka ladata tulostusprofiilit Antalis ICC Profile Centrestä Tämän oppaan avulla näet, kuinka helppoa on ladata materiaalien tulostusprofiilit Antalis ICC Profile Centren nettisivuilta ja siirtää

Lisätiedot

Gimp perusteet. Riitta, Jouko ja Heikki

Gimp perusteet. Riitta, Jouko ja Heikki Gimp perusteet Riitta, Jouko ja Heikki Jos haluat vaihtaa ohjelman kielen (asentaa oletuksena saman kuin käyttöjärjestelmä): käyttöjärjestelmän lisäasetuksista lisätään uusi ympäristömuuttuja:lang arvo:en

Lisätiedot

OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia

OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala TYÖ 11 ELEKTRONIIKAN LABORAATIOT H.Honkanen OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia TYÖN TAVOITE Tutustua operaatiovahvistinkytkentään

Lisätiedot

LABORATORIOTYÖ 2 A/D-MUUNNOS

LABORATORIOTYÖ 2 A/D-MUUNNOS LABORATORIOTYÖ 2 A/D-MUUNNOS Päivitetty: 23/01/2009 TP 2-1 2. A/D-muunnos Työn tarkoitus Tässä työssä demotaan A/D-muunnoksen ominaisuuksia ja ongelmia. Tarkoitus on osoittaa käytännössä, miten bittimäärä

Lisätiedot

521365S Tietoliikenteen simuloinnit ja työkalut HFSS MARKO SONKKI 10.5.2006. Sisältö:

521365S Tietoliikenteen simuloinnit ja työkalut HFSS MARKO SONKKI 10.5.2006. Sisältö: 521365S Tietoliikenteen simuloinnit ja työkalut HFSS MARKO SONKKI 10.5.2006 10.5.2006 1 Sisältö: 1. Johdanto 2. Mihin HFSS:ää käytetään 3. Yleisimmät HFSS sovelluskohteet 4. Ratkaistu data ja sen soveltaminen

Lisätiedot

EASY Tiedostopalvelin - mobiilin käyttöopas

EASY Tiedostopalvelin - mobiilin käyttöopas EASY Tiedostopalvelin - mobiilin käyttöopas Android www.storageit.fi - Äyritie 8 D, 01510 VANTAA Salorantie 1, 98310 KEMIJÄRVI Sisältö 1. Lataaminen ja asennus 2. Kansiot ja tiedostot Uusien tiedostojen

Lisätiedot

521365S Tietoliikenteen simuloinnit ja työkalut: Advanced Design System ADS

521365S Tietoliikenteen simuloinnit ja työkalut: Advanced Design System ADS 521365S Tietoliikenteen simuloinnit ja työkalut: Advanced Design System ADS Juha-Pekka Mäkelä 26.4.2007 1 Sisältö 1. Johdanto 2. ADS:n rakenne 3. Simulointitasot 4. Käyttöympäristö 5. Esimerkkikuvia 6.

Lisätiedot

EMC Säteilevä häiriö

EMC Säteilevä häiriö EMC Säteilevä häiriö Kaksi päätyyppiä: Eromuotoinen johdinsilmukka (yleensä piirilevyllä) silmulla toimii antennina => säteilevä magneettikenttä Yhteismuotoinen ei-toivottuja jännitehäviöitä kytkennässä

Lisätiedot

EASY Tiedostopalvelin - mobiilin käyttöopas

EASY Tiedostopalvelin - mobiilin käyttöopas EASY Tiedostopalvelin - mobiilin käyttöopas ios www.storageit.fi - Äyritie 8 D, 01510 VANTAA Salorantie 1, 98310 KEMIJÄRVI Sisältö 1. Lataaminen ja asennus 2. Kansiot ja tiedostot Uusien tiedostojen luonti

Lisätiedot

Päivitys käyttäen USB-tikkua

Päivitys käyttäen USB-tikkua Päivitys käyttäen USB-tikkua HUOM! Näiden ohjeiden noudattaminen on täysin käyttäjän omalla vastuulla! Ohjeen tekijät eivät vastaa mahdollisesti aiheutuvista ongelmista tai vahingoista. Tämän ohjeen tarkoitus

Lisätiedot

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 1 Tässä osassa suunnitellaan 1. asteen Σ muunnin SC tekniikkaa hyväksikäyttäen. Muuntimen ideaalisen mallin toiminta varmistetaan Cadence simuloinnilla. Tavoitteet:

Lisätiedot

Taitaja2004/Elektroniikka Semifinaali 19.11.2003

Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Teoriatehtävät Nimi: Oppilaitos: Ohje: Tehtävät ovat suurimmaksi osaksi vaihtoehtotehtäviä, mutta tarkoitus on, että lasket tehtävät ja valitset sitten

Lisätiedot

KOHINASALPAKORTTI BX58 JA RX58

KOHINASALPAKORTTI BX58 JA RX58 KOHINASALPAKORTTI BX58 JA RX58 Pekka T. Pussinen, OH8HBG Tämä dokumentti käsittelee Nokia/Mobira B- ja R-58 -sarjan radiolaitteisiin soveltuvan kohinasalpakortin valmistamista ja asentamista. Radioamatöörikäytössä

Lisätiedot

SQL Buddy JAMK Labranet Wiki

SQL Buddy JAMK Labranet Wiki Page 1 of 9 SQL Buddy JAMK Labranet Wiki Sisällysluettelo Yleistä SQL Buddy:sta kotisivu :http://sqlbuddy.com/ SQL Buddy on kevyt hallintatyökalu MySQL-tietokannalle. Järjestelmävaatimukset Serverin vaatimukset

Lisätiedot

Let Me Hack It -kurssilla tarvittavat ohjelmat ja välineet

Let Me Hack It -kurssilla tarvittavat ohjelmat ja välineet Let Me Hack It -kurssilla tarvittavat ohjelmat ja välineet Tarvitset kurssilla tietokoneen jolla on: Windows, Mac OS X tai Linux -käyttöjärjestelmä. Vähintään yksi kurssin ohjelmointiympäristöistä (Processing

Lisätiedot

Kirjoittaja: Antero Lehto

Kirjoittaja: Antero Lehto Sisällysluettelo LTspice IV...2 Käyttöliittymä...2 Pikanäppäimet...3 Erityishuomio!...3 Esimerkkisuoritus...4 SPICE directive kikkailua...8 Komponentin parametrisointi...8 Komponenttiarvojen.STEP sweep...9

Lisätiedot

EMVHost Online SUBJECT: EMVHOST ONLINE CLIENT - AUTOMAATTISIIRROT COMPANY: EMVHost Online Client sovelluksen käyttöohje AUTHOR: DATE: 15.03.

EMVHost Online SUBJECT: EMVHOST ONLINE CLIENT - AUTOMAATTISIIRROT COMPANY: EMVHost Online Client sovelluksen käyttöohje AUTHOR: DATE: 15.03. EMVHost Online SUBJECT: COMPANY: COMMENTS: AUTHOR: EMVHOST ONLINE CLIENT - AUTOMAATTISIIRROT NETS OY EMVHost Online Client sovelluksen käyttöohje NETS OY DATE: 15.03.2011 VERSION: 1.0 1 SISÄLLYS SISÄLLYS...

Lisätiedot

PADS 2007.2 pikaopas

PADS 2007.2 pikaopas PADS 2007.2 pikaopas Versio 3.0 Jani Ahvonen Tekniikka ja Liikenne 2008 2 JOHDANTO Tämä PADS pikaopas on tehty Logic (piirikaaviosuunnittelu) ja Layout (piirilevynsuunnittelu) ohjelmille. Tekniikkaa käydään

Lisätiedot

TEKLAB elektroniikkapöytä

TEKLAB elektroniikkapöytä TEKLAB elektroniikkapöytä Ennen kuin aloitat työskentelyn: Pyydä pöydän avausta ja tarvittavia työvälineitä henkilökunnalta. Käynnistä TUTLabin ilmanvaihto (kysy henkilökunnalta, jos et ole varma, onko

Lisätiedot

Perusmittalaitteet. Oskilloskooppi. Oskilloskooppi. Mittaustekniikan perusteet / luento 3. Oskilloskooppi. Oskilloskooppi

Perusmittalaitteet. Oskilloskooppi. Oskilloskooppi. Mittaustekniikan perusteet / luento 3. Oskilloskooppi. Oskilloskooppi Mittaustekniikan perusteet / luento 3 Perusmittalaitteet Oskilloskooppi Tärkein ja monipuolisin elektroniikkamittalaite Mittauksia: jännite, taajuus, muutosilmiöt, kohina, säröytyminen... Oskilloskooppi

Lisätiedot

Laitteita - Yleismittari

Laitteita - Yleismittari Laitteita - Yleismittari Yleistyökalu mittauksissa Yleensä digitaalisia Mittaustoimintoja Jännite (AC ja DC) Virta (AC ja DC) Vastus Diodi Lämpötila Transistori Kapasitanssi Induktanssi Taajuus 1 Yleismittarin

Lisätiedot

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 2

ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 2 ANALOGIAPIIRIT III/SUUNNITTELUHARJOITUS OSA 2 Tässä osassa suunnitellaan operaatiovahvistin 1. osassa suunniteltua Σ muunninta varten. Operaatiovahvistimen toiminta varmistetaan Cadence simuloinneilla.

Lisätiedot

Mathcad 14.0 Single User -asennus 12.3.2008

Mathcad 14.0 Single User -asennus 12.3.2008 Mathcad 14.0 Single User -asennus 12.3.2008 Asennuksessa on kaksi vaihetta. Ensin asennetaan ohjelma tietokoneelle (vaiheet 1-3). Sen jälkeen asennetaan lisenssi (vaiheet 4-12). 1. Aseta Mathcad 14 CD-levy

Lisätiedot

Tekstieditorin käyttö ja kuvien käsittely

Tekstieditorin käyttö ja kuvien käsittely Tekstieditorin käyttö ja kuvien käsittely Teksti- ja kuvaeditori Useassa Kotisivukoneen työkalussa on käytössä monipuolinen tekstieditori, johon voidaan tekstin lisäksi liittää myös kuvia, linkkejä ja

Lisätiedot

Tietoliikennesignaalit & spektri

Tietoliikennesignaalit & spektri Tietoliikennesignaalit & spektri 1 Tietoliikenne = informaation siirtoa sähköisiä signaaleja käyttäen. Signaali = vaihteleva jännite (tms.), jonka vaihteluun on sisällytetty informaatiota. Signaalin ominaisuuksia

Lisätiedot

Kuormausnosturisimulaattori

Kuormausnosturisimulaattori Kuormausnosturisimulaattori Kuormausnosturisimulaattori Valmistaja MeVea, Lappeenranta Hankittu Varian logistiikkaosastolle Maaliskuussa 2014 Hinta 15 000 euroa Fyysisesti pakettiin kuuluu: 80 TV, joka

Lisätiedot

SEM1, työpaja 2 (12.10.2011)

SEM1, työpaja 2 (12.10.2011) SEM1, työpaja 2 (12.10.2011) Rakenneyhtälömallitus Mplus-ohjelmalla POLKUMALLIT Tarvittavat tiedostot voit ladata osoitteesta: http://users.utu.fi/eerlaa/mplus Esimerkki: Planned behavior Ajzen, I. (1985):

Lisätiedot

22,8 16,4 8,6 8,1 6,9 9,1 0,0 71,9 10,5 14,4 10,8 13,6 9,8 18,9 0,0 78,0 8,9 31,6 15,9 25,6 7,0 13,2 0,0 102,2

22,8 16,4 8,6 8,1 6,9 9,1 0,0 71,9 10,5 14,4 10,8 13,6 9,8 18,9 0,0 78,0 8,9 31,6 15,9 25,6 7,0 13,2 0,0 102,2 LOPPUTULOKSET Pos No Driver / 2.Driver EK1 EK2 EK3 EK4 EK5 EK6 AT Total 2 412 3 415 4 407 5 414 6 408 7 402 8 417 9 416 10 406 11 413 12 411 13 409 14 405 15 403 16 404 22,8 16,4 8,6 8,1 6,9 9,1 0,0 71,9

Lisätiedot

Mathcad 15 asennus. 29.10.12 (rev.4)

Mathcad 15 asennus. 29.10.12 (rev.4) 29.10.12 (rev.4) Mathcad 15 asennus Tässä ohjeessa neuvotaan lähinnä version 15.010 asennus, mutta myös muiden versioiden valintoja selostetaan. Kaikkia Mathcad-versiot asennetaan samalta medialta, ainoastaan

Lisätiedot

OSKILLOSKOOPIN SYVENTÄVÄ KÄYTTÖ

OSKILLOSKOOPIN SYVENTÄVÄ KÄYTTÖ FYSP110/K2 OSKILLOSKOOPIN SYVENTÄVÄ KÄYTTÖ 1 Johdanto Työn tarkoituksena on tutustua oskilloskoopin käyttöön perusteellisemmin ja soveltaa työssä Oskilloskoopin peruskäyttö hankittuja taitoja. Ko. työn

Lisätiedot

KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ

KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ KONEISTUSKOKOONPANON TEKEMINEN NX10-YMPÄRISTÖSSÄ https://community.plm.automation.siemens.com/t5/tech-tips- Knowledge-Base-NX/How-to-simulate-any-G-code-file-in-NX- CAM/ta-p/3340 Koneistusympäristön määrittely

Lisätiedot

Sekvenssipiirin tilat

Sekvenssipiirin tilat igitaalitekniikka (piirit) Luku Täsmätehtävä Tehtävä Sekvenssipiirin tilat Montako tilaa vähintään tarvitaan seuraavissa sekvenssipiireissä: Painikkeella ohjattava lampun sytytys ja sammutus. Näyttöä ohjaava

Lisätiedot

Turun Ammattikorkeakoulu, Elektroniikka

Turun Ammattikorkeakoulu, Elektroniikka Turun Ammattikorkeakoulu, Elektroniikka Toteutussuunnitelma: Analogiaelektroniikka 15 op Laatinut: Timo Tolmunen, 1.9.2011 Opintokokonaisuus on pakollinen elektroniikan suuntautumisvaihtoehdon opiskelijoille

Lisätiedot

AutoCAD Map 3D 2013 perusteet

AutoCAD Map 3D 2013 perusteet opetusmateriaali Tietoa materiaalista AutoCAD Map 3D 2013 perusteet Käyttäjä Käyttäjä Future CAD Oy Sahaajankatu 28 A 00810 Future Helsinki CAD Oy Puh. Sahaajankatu (09) 478528 400, A faksi (09) 4785 4500

Lisätiedot

Golfsimulaattorin käyttö- ja peliohjeet

Golfsimulaattorin käyttö- ja peliohjeet Golfsimulaattorin käyttö- ja peliohjeet 1. Käynnistys 1.1 Koneiden käynnistys Käynnistettäviä laitteita on yhteensä Kolme: tietokone, monitori ja videotykki, myös tarvittaessa lasertulostin. Tietokoneen

Lisätiedot

Ohjelmistopäivitysohje

Ohjelmistopäivitysohje Johdanto Topfield-vastaanottimet toimitetaan testatulla, vakaasti toimivalla ja helppokäyttöisellä ohjelmistolla varustettuina. Päivitämme ohjelmistoja kuitenkin ajoittain lisäämällä ja parantamalla niiden

Lisätiedot

www.velleman.be http://forum.velleman.be/

www.velleman.be http://forum.velleman.be/ www.velleman.be http://forum.velleman.be/ 2 Laitteiston asetukset... 5 Ohjelman asennus... 6 Ohjelman käynnistäminen... 7 Oskilloskooppi... 8 Spektrianalysaattori... 9 Transienttitallennin... 10 Funktiogeneraattori...

Lisätiedot

Vertti Poikola DDR3-MUISTIYMPÄRISTÖN VERIFIOINTI SIGNAALIANALYSAATTORILLA

Vertti Poikola DDR3-MUISTIYMPÄRISTÖN VERIFIOINTI SIGNAALIANALYSAATTORILLA Vertti Poikola DDR3-MUISTIYMPÄRISTÖN VERIFIOINTI SIGNAALIANALYSAATTORILLA DDR3-MUISTIYMPÄRISTÖN VERIFIOINTI SIGNAALIANALYSAATTORILLA Vertti Poikola Opinnäytetyö Kevät 2012 Tietotekniikan koulutusohjelma

Lisätiedot

Integroidun maksupäätteen lisääminen käyttöönotossa

Integroidun maksupäätteen lisääminen käyttöönotossa Integroidun maksupäätteen lisääminen käyttöönotossa Elisa tarjoaa maksupäätteiksi Netsin Ingenico ipp350 tai iwl250 Ethernet yhteydellä ja iwl250 mallia Bluetooth yhteydellä. Jotta maksupääte toimii Elisa

Lisätiedot

IR-lämpömittarityypit

IR-lämpömittarityypit IR-lämpömittarityypit Kokonaissäteilypyrometrit Laaja aallonpituusalue (esim. 1-100 µm) häiriöaltis Hidas (vaste 1-3 s) Osittaissäteilypyrometrit Kapea aallonpituusalue (esim. 0,5-1,1 µm) vähemmän häiriöaltis

Lisätiedot

Monikielinen verkkokauppa

Monikielinen verkkokauppa Monikielinen verkkokauppa Monikielinen verkkokauppa Monikielisen verkkokaupan luomisessa pitää Multiple Languages lisämoduuli olla aktivoituna. Klikkaa valikosta Features -> Apps Management -> näkyviin

Lisätiedot

Esittely. Muistathan, että voit myös käyttää Petsietä aivan normaalina käyttäjänä kasvattajapalveluiden lisäksi. Antoisaa Petsien käyttöä!

Esittely. Muistathan, että voit myös käyttää Petsietä aivan normaalina käyttäjänä kasvattajapalveluiden lisäksi. Antoisaa Petsien käyttöä! Petsie kasvattaja 1 2 Sisällysluettelo Esittely...3 1. Kuinka pääset alkuun...4 1.1. Rekisteröinti...4 2. Lemmikit...4 2.1. Lemmikkien lisäys...4 2.2. Lemmikin tietojen muokkaus...4 3. Kasvattajasivu...5

Lisätiedot

45 Opetussuunnitelma OSAAMISEN ARVIOINTI ARVIOINNIN KOHTEET JA AMMATTITAITOVAATIMUKSET OSAAMISEN HANKKIMINEN. suorittaja työskentely

45 Opetussuunnitelma OSAAMISEN ARVIOINTI ARVIOINNIN KOHTEET JA AMMATTITAITOVAATIMUKSET OSAAMISEN HANKKIMINEN. suorittaja työskentely Hyväksymismerkinnät 1 (5) Näytön kuvaus: Opiskelija osoittaa osaamisensa ammattiosaamisen näytössä toimimalla elektroniikka-alan työtehtävissä. Työtä tehdään siinä laajuudessa, että osoitettava osaaminen

Lisätiedot

BY-PASS kondensaattorit

BY-PASS kondensaattorit BY-PA kondensaattorit H. Honkanen Lähes kaikki piirikortille rakennetut elektroniikkalaitteet vaativat BY PA -kondensaattorin käyttöä. BY-pass kondensaattorilla on viisi merkittävää tarkoitusta: Estää

Lisätiedot

Sisältö. Päivitetty viimeksi 31.8.2011 Sivu 2 / 14

Sisältö. Päivitetty viimeksi 31.8.2011 Sivu 2 / 14 Ylläpitäjän ohje Sisältö Ylläpitäjän ohje... 1 Yleistä... 3 Vinkkejä ylläpitäjälle... 3 Osoitteet... 3 Internet-selain ja Flash-laajennus... 3 Julkinen sivunäkymä ja ylläpitonäkymä eri välilehdissä...

Lisätiedot

Elektroniikka Kilpailuosio 1, piirilevyn suunnittelu (laserkortti) Johdanto. Tehtävän kuvaus

Elektroniikka Kilpailuosio 1, piirilevyn suunnittelu (laserkortti) Johdanto. Tehtävän kuvaus Elektroniikka Kilpailuosio 1, piirilevyn suunnittelu (laserkortti) Johdanto Kilpailijan tehtävänä on suunnitella piirilevy annetun piirikaavion, ulkoisten mittojen sekä osaluettelossa lueteltujen komponenttien

Lisätiedot

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut

BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut BL40A1711 Johdanto digitaaleketroniikkaan: Sekvenssilogiikka, pitopiirit ja kiikut Sekvenssilogiikka Kombinatooristen logiikkapiirien lähtömuuttujien nykyiset tilat y i (n) ovat pelkästään riippuvaisia

Lisätiedot

Pyöräkuormaajasimulaattori

Pyöräkuormaajasimulaattori Pyöräkuormaajasimulaattori Pyöräkuormaajasimulaattori Valmistaja MeVea, Lappeenranta Hankittu Varian logistiikkaosastolle 31.12.2013 Hinta 27 000 euroa Fyysisesti pakettiin kuuluu: 55 TV, joka toimii pyöräkuormaajan

Lisätiedot

Harjoitus Bones ja Skin

Harjoitus Bones ja Skin LIITE 3 1(6) Harjoitus Bones ja Skin Harjoituksessa käsiteltävät asiat: Yksinkertaisen jalan luominen sylinteristä Luurangon luominen ja sen tekeminen toimivaksi raajaksi Luurangon yhdistäminen jalka-objektiin

Lisätiedot

UNIVERSITY OF JYVÄSKYLÄ LABORATORY WORKS. For analog electronics FYSE400 Loberg D E P A R T M E N T O F P H Y S I C S

UNIVERSITY OF JYVÄSKYLÄ LABORATORY WORKS. For analog electronics FYSE400 Loberg D E P A R T M E N T O F P H Y S I C S UNIVESITY OF JYVÄSKYLÄ LABOATOY WOKS For analog electronics FYSE400 Loberg 2010 D E P A T M E N T O F P H Y S I C S 2 P a g e 3 P a g e 4 P a g e Contents 1 Shortly about Multisim... 7 2 Ominaiskäyrästön

Lisätiedot

AKKREDITOITU KALIBROINTILABORATORIO ACCREDITED CALIBRATION LABORATORY SGS FIMKO OY

AKKREDITOITU KALIBROINTILABORATORIO ACCREDITED CALIBRATION LABORATORY SGS FIMKO OY K001/M16/2019 Liite 1 / Appendix 1 Sivu / Page 1(19) AKKREDITOITU KALIBROINTILABORATORIO ACCREDITED CALIBRATION LABORATORY SGS FIMKO OY Tunnus Code Laboratorio Laboratory Osoite Address www www K001 SGS

Lisätiedot

Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita

Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita Yhteydensaantiongelmien ja muiden ongelmien ratkaisuita Miksi SmartView v4.1 ei suostu avaamaan lämpökuvia? Mikäli SmartView-ohjelmiston täysversio 4.1 ladataan suoraan nettisivuilta, jotkin tietokoneet

Lisätiedot

Lukkarikone Pikaohjeet v. 1.0

Lukkarikone Pikaohjeet v. 1.0 Lukkarikone Pikaohjeet v. 1.0 Huom! Lukkarikone toimii oikein vain jos koulutusohjelmasi ylläpitää lukujärjestystietoja Metropolian tilanvarausjärjestelmässä (tvj.metropolia.fi). Käyttöperiaate Lukkarikone

Lisätiedot

Uudet ominaisuudet. Realise Your Vision

Uudet ominaisuudet. Realise Your Vision Uudet ominaisuudet Realise Your Vision 1. Yleistä Autodesk Navisworks 2011 ohjelmistosta...2 Ohjelmistomodulit ovat uudistuneet...2 2. Asennuksessa muuttunut...2 3. Käyttöliittymän parannukset...4 Ribbon:it...4

Lisätiedot

Tekniikka ja liikenne (5) Tietoliikennetekniikan laboratorio

Tekniikka ja liikenne (5) Tietoliikennetekniikan laboratorio Tekniikka ja liikenne 4.4.2011 1 (5) Tietoliikennetekniikan laboratorio Työ 1 PCM-työ Työn tarkoitus Työssä tutustutaan pulssikoodimodulaation tekniseen toteutustapaan. Samalla nähdään, miten A/Dmuunnin

Lisätiedot

Suprajohtava generaattorikisko Olkiluodon ydinvoimalaan

Suprajohtava generaattorikisko Olkiluodon ydinvoimalaan Suprajohtava generaattorikisko Olkiluodon ydinvoimalaan p. 1/15 Suprajohtava generaattorikisko Olkiluodon ydinvoimalaan Lauri Rostila lauri.rostila@tut.fi TTY/Sähkömagnetiikka Suprajohtava generaattorikisko

Lisätiedot

Tarjous sidonnaisuuspalvelusta

Tarjous sidonnaisuuspalvelusta 2017 Tarjous sidonnaisuuspalvelusta Jyrki Autio 2 Tarjous Sidonnaisuuspalvelu.fi TARJOUS SIDONNAISUUSPALVELUSTA Tarjous Kuntalain 810/2015, 84 S:n tarkoittaman sidonnaisuusrekisterin ylläpidosta. 1. ASIAKKAAN

Lisätiedot

KÄYTTÖOHJE CELOTRON GSM LÄMPÖPUMPPUOHJAIN

KÄYTTÖOHJE CELOTRON GSM LÄMPÖPUMPPUOHJAIN KÄYTTÖOHJE CELOTRON GSM LÄMPÖPUMPPUOHJAIN Snro: 7163630 Kiitos tuotteemme hankinnasta. Tämä älykäs gsm toiminen laite ei ainostaan ohjaa lämpöpumppua vaan se myös tarkkailee huonelämpötilaasi ja sähköverkon

Lisätiedot

CAMI Havaintotaidot -harjoitusohjelman asennus- ja käyttöönotto-ohje ei verkkoasennus

CAMI Havaintotaidot -harjoitusohjelman asennus- ja käyttöönotto-ohje ei verkkoasennus CAMI Havaintotaidot -ohjelmiston asennus 1 CognAid Oy CAMI Havaintotaidot -harjoitusohjelman asennus- ja käyttöönotto-ohje ei verkkoasennus CAMI Havaintotaidot -harjoitusohjelma toimii kaikissa ajanmukaisissa

Lisätiedot

AutoChart oma kartoitustyökalusi

AutoChart oma kartoitustyökalusi oma kartoitustyökalusi AutoChartin avulla voit helposti tehdä syvyyskartan millä tahansa järvellä: 1. Tallenna polut AutoChart Zero Line -muistikortille (1 kpl sisältyy AutoChartiin) 2. Muunna tallenne

Lisätiedot

Päivitysohje Opus Dental

Päivitysohje Opus Dental Päivitysohje Opus Dental 7.1.460 1. Päivitysohjelman lataaminen Avaa Opus Dental -internetsivu osoitteessa www.opusdental.com. Klikkaa etusivulta Suomen lippua avataksesi suomenkielisen sivuston. Valitse

Lisätiedot

Perusmittalaitteet. Oskilloskooppi. Tärkein ja monipuolisin elektroniikkamittalaite. Piirtää mitattavasta suureesta graafin

Perusmittalaitteet. Oskilloskooppi. Tärkein ja monipuolisin elektroniikkamittalaite. Piirtää mitattavasta suureesta graafin Mittaustekniikan perusteet / luento 2 Perusmittalaitteet Tärkein ja monipuolisin elektroniikkamittalaite Mittauksia: jännite, aaltomuoto, taajuus, muutosilmiöt, kohina, säröytyminen... Hyvä työkalu häiriöiden

Lisätiedot

lomake 6 Taitaja 2007 Lajinumero: 06 Kilpailijanumero: 41 Kilpailijan nimi Anonyymi Kilpailija41 Allekirjoitukset

lomake 6 Taitaja 2007 Lajinumero: 06 Kilpailijanumero: 41 Kilpailijan nimi Anonyymi Kilpailija41 Allekirjoitukset lomake 6 Kilpailijanumero: 41 Kilpailijan nimi Anonyymi Kilpailija41 Annetut pisteet Osio Osion kuvaus uus Päivä 1 Päivä 2 Päivä 3 Päivä 4 Yhteensä A Piirilevyn suunnittelu 14.00 8.00 8.00 B Teollisen

Lisätiedot

Tilastollinen vastepintamallinnus: kokeiden suunnittelu, regressiomallin analyysi, ja vasteen optimointi. Esimerkit laskettu JMP:llä

Tilastollinen vastepintamallinnus: kokeiden suunnittelu, regressiomallin analyysi, ja vasteen optimointi. Esimerkit laskettu JMP:llä Tilastollinen vastepintamallinnus: kokeiden suunnittelu, regressiomallin analyysi, ja vasteen optimointi Esimerkit laskettu JMP:llä Antti Hyttinen Tampereen teknillinen yliopisto 29.12.2003 ii Ohjelmien

Lisätiedot

,QWHUQHWVHODLPHQNl\WWlPLQHQ±,QWHUQHW([SORUHU

,QWHUQHWVHODLPHQNl\WWlPLQHQ±,QWHUQHW([SORUHU ,QWHUQHWVHODLPHQNl\WWlPLQHQ±,QWHUQHW([SORUHU Tässä pääsette tutustumaan Internet Explorerin (IE) käyttöön. Muitakin selainversioita löytyy, kuten esimerkiksi Netscape, Opera ja Mozilla. Näiden muiden selainten

Lisätiedot

STL:n luonti IronCADillä

STL:n luonti IronCADillä STL:n luonti IronCADillä STL-tiedoston luonti IronCADilla etenee seuraavasti: 1. Avataan haluttu kappale IronCADilla. 2. Kappaletta napsautetaan hiiren oikealla näppäimellä ja valitse pudotusvalikosta

Lisätiedot

Verkkosivut perinteisesti. Tanja Välisalo 11.2.2009

Verkkosivut perinteisesti. Tanja Välisalo 11.2.2009 Verkkosivut perinteisesti Tanja Välisalo 11.2.2009 WWW-sivujen vieminen omaan kotisivutilaan yliopiston mikroverkossa https://salasana.jyu.fi Klikkaa painiketta Activate WWW Klikkaa painiketta Activate

Lisätiedot

Tieto Edu. Tieto Edun mobiiliversiota voi käyttää Android- ja IOs-laitteissa ja web-version käyttöön suosittelemme joko Crome- tai Firefox-selainta.

Tieto Edu. Tieto Edun mobiiliversiota voi käyttää Android- ja IOs-laitteissa ja web-version käyttöön suosittelemme joko Crome- tai Firefox-selainta. Tieto Edu Hoitoaikavaraukset ja äkillisten poissaolojen ilmoittaminen 14.10. alkaen. 14.10. hoitoaikavaraukset ilmoitettava 7.10. klo 24 mennessä. Sovelluksen voi ladata heti. DaisyNet poistuu käytöstä.

Lisätiedot

Elektroniikan perusteet, Radioamatööritutkintokoulutus

Elektroniikan perusteet, Radioamatööritutkintokoulutus Elektroniikan perusteet, Radioamatööritutkintokoulutus Antti Karjalainen, PRK 30.10.2014 Komponenttien esittelytaktiikka Toiminta, (Teoria), Käyttö jännite, virta, teho, taajuus, impedanssi ja näiden yksiköt:

Lisätiedot

LABORATORIOTYÖ 4 MITTAUSAUTOMAATIO

LABORATORIOTYÖ 4 MITTAUSAUTOMAATIO LABORATORIOTYÖ 4 MITTAUSAUTOMAATIO 4-1 4. MITTAUSAUTOMAATIO Työn tarkoitus: Työn tarkoituksena on tutustua mittausautomaation perusteisiin ja samalla LabView mittausautomaatiojärjestelmään. Lisäksi pyritään

Lisätiedot

Pikaohje formaatin valmistamiseen

Pikaohje formaatin valmistamiseen Pikaohje formaatin valmistamiseen Esko Otava Oy ver.1.0 Pääikkunasta (tulostusikkunasta) valitaan Cards-Show cards manager Paina Add 2 Paina New Kortinsuunnitteluikkuna on jaettu kolmeen osaan: Vasemmalla

Lisätiedot

Ohjeistus lähetettävistä tiedoista piirilevyn kalustusta varten

Ohjeistus lähetettävistä tiedoista piirilevyn kalustusta varten 1 Ohjeistus lähetettävistä tiedoista piirilevyn kalustusta varten Tässä ohjeessa kuvataan JOPACOn yleisimmin tarvitsemat tiedostot piirilevyjen kalustusta varten sekä asiat, jotka tiedostoista tulee ilmetä.

Lisätiedot

Tehtävä 8. Jännitelähteenä käytetään yksipuolista 12 voltin tasajännitelähdettä.

Tehtävä 8. Jännitelähteenä käytetään yksipuolista 12 voltin tasajännitelähdettä. Tehtävä 8 1. Suunnittele Micro-Cap-simulaatio-ohjelman avulla kaistanpäästösuodin, jonka -alarajataajuus f A = 100 Hz @-3 db -ylärajataajuus f Y = 20 khz @-3 db -jännitevahvistus A U = 2 Jännitelähteenä

Lisätiedot

Tulosta yrityksesi tuloslaskelma ja tase myöhempää tarkastusta varten. Ota varmuuskopio tilanteesta ennen tilimuunnosta.

Tulosta yrityksesi tuloslaskelma ja tase myöhempää tarkastusta varten. Ota varmuuskopio tilanteesta ennen tilimuunnosta. Tilimuunnosohje 1 (5) Tilimuunnosajo Tilimuunnosajo täytyy tehdä jos halutaan vaihtaa yritykselle tilikartta ja säilyttää tilien tapahtumat. Tilikartan vaihtoa varten perustetaan uusi yritys, jonne muunnosajossa

Lisätiedot

Solteq Tekso v Versiopäivitysohje.

Solteq Tekso v Versiopäivitysohje. Solteq Tekso v. 5.0 Versiopäivitysohje www.solteq.com Sisällysluettelo TEKSON VERSIOPÄIVITYS v.5.0... 3 1.1 Ennen päivityksen ajoa 3 1.2 Käytössä olevan Tekson version tarkastaminen 3 1.3 Päivityksen lataus

Lisätiedot

DOORS 7.1 Test Tracking Toolkit

DOORS 7.1 Test Tracking Toolkit DOORS 7.1 Test Tracking Toolkit 4.8.2004 SoftQA Pekka Mäkinen Pekka.Makinen@softqa.fi Test Tracking Toolkit Test Tracking Toolkit on osa vakio-doorsia versiossa 7.1. Ohjelmisto sisältää toiminnat pienimuotoiseen

Lisätiedot

Send-It ilmoittautumisjärjestelmä (judotapahtumat Suomessa)

Send-It ilmoittautumisjärjestelmä (judotapahtumat Suomessa) Ilmoittautumis järjestelmän otsikko alue Jokaisella tapahtumalla on otsikko-osa joka on samanlainen joka puolella sovellusta. Tämä Judokilpailuissa käytetty otsikko-osa koostuu viidestä linkistä, joita

Lisätiedot

LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN

LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN Päivitetty: 23/01/2009 TP 3-1 3. VAIHELUKITTU VAHVISTIN Työn tavoitteet Työn tavoitteena on oppia vaihelukitun vahvistimen toimintaperiaate ja käyttömahdollisuudet

Lisätiedot

IR-lämpömittarityypit

IR-lämpömittarityypit IR-lämpömittarityypit Kokonaissäteilypyrometrit Laaja aallonpituusalue (esim. 1-100 µm) häiriöaltis Hidas (vaste 1-3 s) Osittaissäteilypyrometrit Kapea aallonpituusalue (esim. 0,5-1,1 µm) vähemmän häiriöaltis

Lisätiedot

Koulukirjat tietokoneelle

Koulukirjat tietokoneelle Koulukirjat tietokoneelle OHJEITA PAPERPORT -OHJELMAN ASENNUKSEEN JA KÄYTÖN ALOITTAMISEEN Sisällysluettelo PaperPort 12 esittely 1 ImageView ja PDF Viewer Plus ohjelmien esittelyt 1 Ohjeet ohjelman käytön

Lisätiedot