SISÄLLYSLUETTELO. Esipuhe 3. Elektroniikan kytkentöjen mallintaminen ei ole vaikeaa 9 SIMULAATTORIOHJELMAN KÄYTTÖ 10

Koko: px
Aloita esitys sivulta:

Download "SISÄLLYSLUETTELO. Esipuhe 3. Elektroniikan kytkentöjen mallintaminen ei ole vaikeaa 9 SIMULAATTORIOHJELMAN KÄYTTÖ 10"

Transkriptio

1 SISÄLLYSLUETTELO Esipuhe 3 Elektroniikan kytkentöjen mallintaminen ei ole vaikeaa 9 SIMULAATTORIOHJELMAN KÄYTTÖ 10 Yksinkertaisimman virtapiirin luonti 10 Oskillaattorin luonti 21 Generaattorikytkennän luonti 28 Vahvistimen luonti 38 Piirrosmerkkien luonti 51 Komponentien luonti 55 ELEKTRONIIKKASIMULAATTORIN PIKAOPAS 59 Dynaamisen DC-analyysin käyttö 60 Transient-analyysin käyttö 61 AC-analyysin käyttö 62 DC-analyysin käyttö 63 Monte Carlo -analyysin käyttö 64 Fourier-analyysin käytöt 65 Animaatioiden käyttö 66 Suodinten suunnittelun käyttö 67 Laskimen käyttö 68 OHJELMAN VALIKOT 69 Tiedosto-valikko 69 Muokkaa-valikko 72 Komponentti-valikko 75 Ikkunat-valikko 77 Työkalut-valikko 79 Analyysit-valikko 88 Suodinten suunnittelu-valikko 90 KOMPONENTTEJA 98 Passiivisia komponentteja 98 Vastus 98 Ylösvetovastus 99 Alasvetovastus 99 Potentiometri 99 Kondensaattori 99 Elektrolyyttikondensaattori 100 Kela 100 Muuntaja 100 Muuntaja väliulosotolla 100 Laajakaistamuuntaja 101 Muuntajasydän, kelasydän 101 Kaiutin 101 Lamppu 101 Kide 101 Rele 101 Kytkin 102 V-kytkin 102 I-kytkin 103 Digitaalikytkin 103 Johdin 103 Maataso, vertailutaso 103 Hyppylangat 104 Näkymätön yhdiste 104 Sähkölähteet ja generaattorit 104 Tasasähkölähde 104 Kiinteä tasasähkölähde 104 Jännitelähde 104 Virtalähde 104 Siniaaltogeneraattori 105 Impulssigeneraattori 105 Pulssigeneraattori 105 Sahahammasaaltogeneraattori 105 Suorakaideaaltogeneraattori 106 Kolmioaaltogeneraattori 106 Käyttäjän generaattori 106 Kohinageneraattori 108 Herätegeneraattori (Stim 1, 2, 4) 109 Herätegeneraattori (Stim 8, 16) 109 Kiinteä digitaalitaso 110 NTC7-generaattori 110 5

2 Puolijohteet 110 Diodi 110 Ledi 110 Seitsensegmenttinäyttö 111 Zenerdiodi 112 Transistorit 112 Tyristori, SCR 113 Tyristori, PUT 114 Triakki 114 Kide 115 Operaatiovahvistin 115 Porttipiirit 115 Puskuri 116 Invertteri 116 Kiikut 116 Muuntimet, AD ja DA ajastinpiiri 117 Järjestelmäkomponentit 118 Absoluuttinen tasasuuntain 118 Derivaattori 118 Gyraattori 118 Hystereesi tai välys 119 Integraattori 119 Jakaja 119 Jänniteohjattu oskillaattori 120 Kertoja 120 Lineaarinen siirtofunktio 121 Rajoitin 121 Resonaattori 121 Schmith-triggeri 121 Summain 122 Summain, kolmitulo 122 Vahvistin 122 Viive 123 Vähennin 123 Muita komponentteja 123 SÄHKÖLÄHTEITÄ 124 Puoliaaltotasasuuntaaja 124 Puoliaaltotasasuuntaaja ja suodatus 127 Kokoaaltotasasuuntaaja 130 Kokoaaltotasasuuntaaja ja suodatus 133 Jännitteen kahdentaja 137 Jännitteen moninkertaistaja, kaskadi 139 Säädettävä tasasähkölähde 140 Vakiovirtalähde 145 Virtapeili, vakiovirtalähde 147 VAHVISTIMIA 149 Transistorivahvistinaste 149 Erilaisia vahvistimia 161 Invertoiva operaatiovahvistin 163 Ei-invertoiva operaatiovahvistin 170 Summaava operaatiovahvistin 175 Erotusoperaatiovahvistinaste 178 FET-transistorivahvistinaste 180 Radioputki vahvistimena 183 OSKILLAATTOREITA 186 Multivibraattori 186 Colpitts-oskillaattori 189 RC-ketjuoskillaattori RC-ketjuoskillaattori CMOS_oskillaattori 201 Kideoskillaattori 204 Wienin silta oskillaattori oskillaattori pulssioskillaattori 213 SUOTIMIA 217 Alipäästösuodin 217 Ylipäästösuodin 222 Jakosuodin 227 Kolmitiejakosuodin 230 Monikanavainen taajuuskorjain 232 6

3 MUITA VIRTAPIIREJÄ 234 Jännitteenjakopiiri 234 Jännitteen vakavointi zenerdiodilla 236 RC-aikavakiopiiiri 240 RL-aikavakiopiiiri 243 RCL-sarjaresonanssipiiri 246 LC-rinnakkaisresonanssipiiri 250 LC-sarjaresonanssipiiri 252 Vaimentimia 254 Schmitt-liipaisin 262 Transistori kytkimenä 268 Derivaattori 273 Integraattori 276 Transistorin ominaiskäyriä 278 DIGITAALIPIIREJÄ 283 JA-portti 283 TAI-portti 285 EI-TAI-portti 287 EI-JA-portti 289 Ehdoton-TAI-portti 291 RS-kiikku 293 D-kiikku 295 JK-kiikku 297 Laskinkytkentä 299 Liikkuva valo 303 CMOS-4000-kirjaston asennus 306 Induktiivinen reaktanssi 318 Impedanssi 320 Hetkellinen jännite 322 Huippujännite 232 Tehollisjännite 327 Hetkellinen virta 329 Vaihesiirto 331 Jännitevahvistus 334 Jännitevahvistus (db) 338 Alarajataajuus 340 Ylärajataajuus 342 Signaalin säröytyminen 344 Taajuus (1) 346 Taajuus (2) 348 Tuloimpedanssi 350 Lähtöimpedanssi 352 Kolmivaihesähkölähde 354 Laskin 357 Liitteet 360 Hyvä tietää 360 Desibelilaskentaa 369 MITTAUKSIA JA MÄÄRITTEITÄ 309 Kytkentäpisteiden numerointi 309 Kytkentäpisteiden nimeäminen 310 Tasajännite 311 Tasavirta 311 Tasasähköteho 312 Toimintatilat OFF, SAT, LIN ja HOT 313 Logiikkatilat 1, 0 ja X 313 Logiikkatilat 1, 0, R ja S 314 Kapasitiivinen reaktanssi 316 7

4 Elektroniikan kytkentöjen mallintaminen ei ole vaikeaa Oikeilla välineillä, kuten Elektroniikkasimulaattorilla, mallintaminen onnistuu helposti. Elektroniikkasimulaattori on oppikirjan ja cd-rom-levyn muodostama kokonaisuus, joka mahdollistaa peruspiirikytkentöjen tutkimisen kirjan ja tietokoneen avulla valmiiden kytkentöjen muokkaamisen ja omien kytkentöjen luomisen omien piirrosmerkkien ja komponenttimallinnusten luonnin kaupallisten komponenttien mallin haun Internetistä työskentelyn omassa laboratoriossa missä ja milloin tahansa koulun oman verkkomateriaalin käytön ja tuottamisen koetehtävien laadinnassa tehtävien tarkistamisen ja kysymysten asettelun sähköisten kytkentöjen optimoinnin 9

5 Oskillaattorin luonti Piirretään yksinkertainen virtapiirikytkentä, jonka avulla tutkitaan virtapiirin käyttäytymistä värähtelijänä, oskillaattorina. Tutkiminen tapahtuu oskilloskoopin käyttöä jäljittelevän Transient-analyysin avulla. Myös piirikaaviossa tapahtuvien virtojen ja jännitteiden vaihtelujen seuranta on mahdollista. Seuraava piirikaaviokuva kertoo tarvittavat komponentit ja niiden väliset yhteydet. Tavoitteena on piirtää kuvan mukainen oskillaattorikytkentä ja todeta simulaattoriohjelman avulla sen toimivuus Komponenttien haku Aloitetaan mallin mukaisen virtapiirin piirtäminen keräämällä aluksi tarvittavat komponentit. Vastukset haetaan oheisilla painikkeilla tai Vastukset haetaan Resistor-painikkeen avulla. Vastuksen valintaikkunan auettua annetaan vastukselle sen resistanssiarvo ja tarkistetaan, että se tulee näkyviin myös piirikaaviossa. Sijoitetaan vastukset aluksi rinnakkain. Vastusten suunnalla (pysty tai vaaka) ei ole tässä vaiheessa merkitystä. Vastusten haku piirikaavioon Kondensaattorit haetaan tällä painikkeella Kondensaattorit haetaan Capacitor-painikkeen avulla. Kondensaattorin valintaikkunan auettua annetaan kondensaattorille sen kapasitanssiarvo ja tarkistetaan, että se tulee näkyviin myös piirikaaviossa. Sijoitetaan kondensaattorit aluksi vastusten rinnalle. Kondensaattoreiden suunnalla (pysty tai vaaka) ei ole tässä vaiheessa merkitystä. Kondensaattoreiden lisääminen piirikaavioon 21

6 Lopputuloksen tarkastelua Tuloksena on oma virtuaalinen kytkentä, jonka toiminta voidaan testata analyysin avulla. Oskilloskooppikuva (tässä tapauksessa Transient-analyysi) osoittaa, että oskillaattori toimii. Se värähtelee 1 khz taajuudella ja sen aaltomuoto on sakara-aalto. Piirikaavio osk01a.cir Transient-analyysi Tämän kytkennän saa tarvittaessa valmiiksi tehtynä oheisesta tiedostosta. 27

7 AC-analyysin käyttö AC-analyysi muodostuu tavallaan kahden mittalaitteen käytöstä. Pyyhkäisygeneraattorilla muutetaan tutkittavan piirin taajuutta ja analysaattorilla esitetään piirin tulon ja lähdön välinen muutos. Analyysin valinta Piirikaavio Analyysin asetukset Paluu piirikaavioon Analyysin käynnistys Tulos AC-analyysi laskee (tässä) piirikaavion komponenttiarvojen perusteella annettujen suureiden arvoja ja piirtää niistä kuvaajia. 62

8 Tyristori (put, Programmable Unijunction Transistor) Simulaattoriohjelman demoversiossa put-tyristori voidaan mallintaa sen makromallin (put.mac) avulla. Put-tyristorin makrotiedosto put.mac Lisätietoja put-tyristorin käytöstä saa simulaattoriohjelman thy1.cir- ja thy2.cir-tiedostoista. Triakki (triac, TRI-electrode Alternating Current semiconductor switch) Simulaattoriohjelman demoversiossa put-tyristori voidaan mallintaa sen makromallin (put.mac) avulla. Triakin makrotiedosto triac.mac Lisätietoja triakin käytöstä saa simulaattoriohjelman thy1.cir-tiedostosta. 114

9 SR-kiikku (srff, Set Reset Flip-flop) Muuntimet (converters) AD-muunnin (AtoD4, AtoD8, AtoD12, AtoD16, Analog to Digital) Lisätietoja AD-muuntimen käytöstä saa simulaattoriohjelman ad16.cir-tiedostosta. DA-muunnin (DtoA4, DtoA8, DtoA12, DtoA16, Digital to Analog) 555-ajastinpiiri (555, 555 timer) 555-ajastinpiirin makrotiedosto 555.mac Lisätietoja ajastinpiirin käytöstä saa simulaattoriohjelman 555astab.cir- ja 555mono.cir-tiedostoista sekä 555-piirin datalehdiltä LM555.pdf, NE555.pdf ja SE555.pdf. 117

10 Virtapeili, vakiovirtalähde (Current Mirror) Eräs vakiovirtalähdetyyppi on virtapeili. Virtapeilien sovelluksia käytetään esim. operaatiovahvistinpiireissä. Yksinkertainen virtapeilikytkentä muodostuu kahdesta transistorista ja kahdesta vastuksesta. Toiminnan kuvaus Yksinkertaisimmassa virtapeilissä on kaksi virtapiiriä, jonka muodostavat transistori ja sen kollektorivastus. Transistoreiden kannat on liitetty yhteen ja toisen transistorin kollektori on yhdistetty kantoihin. Transistoreiden kannan ja emitterin välinen jännitehäviö on n. 0,6 V. Myös transistorin Q 1 kollektorilla on sama 0,6 V jännite. Transistori Q 1 toimii tässä kytkennässä diodina. Molempien transistoreiden on oltava samanlaisia. Kun diodina toimivan transistorin Q 1 kollektorivastusta säädetään, säätyy diodin (kannan ja emitterin kautta kulkeva) virta. Virran toinen haara peilautuu transistorille Q 2. Vastuksen R 1 säädöllä (tai mitoituksella) voidaan vaikuttaa vakioitavan virran suuruuteen. Koska transistori Q 2 saa vakiovirtaohjauksen kannalleen, on sen kollektorivirta myös vakio riippumatta sen kollektorivastuksen suuruuden vaihteluista. Tämä vastus R L toimiikin virtapeilin kuormitusvastuksena. Vakiovirtalähde, jossa näkyvät simulaattoriohjelman liukusäätimet Piirikaavio vakiov02a.cir Dynaaminen DC-analyysi Toiminnan tutkiminen tietokoneen avulla Virrat Jännitteet Virtapeilin toimintaa voidaan tutkia sen piirikaaviossa suoritettavalla Dynaamisella DC-analyysillä. Piirikaaviossa saadaan näkyviin ja piiloon vastusten ja tasasähkölähteen liukusäätimet näpäyttämällä valikossa olevaa Asetuksetpainiketta eli P-painiketta (Preferences) ja rastittamalla sen jälkeen Liukusäädinten näyttö (Show Slider). Tässä esimerkissä transistorin Q 1 kollektorivastuksen R 1 liukusäätimellä voidaan asettaa virtapeilin virranrajoitusarvo sopivaksi. Kuormitusvastuksen R L liukusäätimen avulla voidaan mallintaa kuormituksessa tapahtuvia impedanssimuutoksia. Säädettäessä liukusäätimellä on ensin näpäytettävä komponentin piirrosmerkkiä. Komponentin värin vaihtumisen jälkeen säädintä liikuttelemalla se saadaan säätymään. Jännitteiden ja virtojen lukemat saadaan esiin ja piiloon oheisilla painikkeilla. Säätämällä virranrajoitusvastuksen R 1 arvoa sen asetetulla säätöalueella 100 Ω 470 Ω havaitaan kuorman läpi kulkevan virran säätyvän n. 20 ma 90 ma. Säätämällä vastaavasti kuormitusvastuksen R L arvoa sen asetetulla säätöalueella 10 Ω Ω havaitaan, että kuormituksen kautta kulkeva virta ei juurikaan muutu. Kytkentä toimii aseteltavana vakiovirtalähteenä. 147

11 Analyysikuvan mukaan suurin lähtöjännite (U OUThh ) on n. 450 mv, jolloin kuormitus oli 1 MΩ. Kuormitusvastuksen ollessa 10 kω on vastaava jännitearvo 300 mv ja kuormitusvastuksen arvolla 1 kω on jännite n. 100 mv. Tästä voidaan päätellä, että lähtöjännite puolittuu kuormitusvastuksen arvon ollessa 1 kω - 10 kω. Lähtöimpedanssin arvo on vastaava 1 kω 10 kω. Tämä menetelmä toimii käytännössä ja sen avulla saadaan toki tarkempikin arvo. Seuraavassa esityksessä on toinen, simulaation avulla suoritettava lähtöimpedanssin määritystapa. Lähtöimpedanssin Z OUT määrittäminen simulaation avulla Piirikaavio vahv01e.cir AC-analyysi Tämä analyysitulos antaa tarkemman arvon lähtöimpedanssille kuin edellinen kuormitusmenettelyyn perustuva tapa. Lähtöimpedanssiksi saadaan 3,7 kω. Kun tätä arvoa verrataan vahvistinasteessa aiemmin käytettyyn kuormitusvastuksen arvoon, voidaan todeta, että 10 kω ei ainakaan kuormittanut vahvistinastetta liikaa. Simulaatiossa on käytetty Spice-virtageneraattoria kuormitusvastuksen paikalla. Huom. Kuormitusvastukseksi R L merkitty vastus on 10 MΩ, joten sillä ei ole mitään käytännön merkitystä tutkittavalle piirille. Tätä vastusta tarvitaan ainoastaan ohjelman laskennallisten syiden vuoksi. AC-analyysi mittaa jännitteen lähdön navoista taajuuden funktiona. Ohmin lain mukaan R = U/I ja I:n ollessa 1 A on R = U eli Z = U. Tämän vuoksi impedanssiarvo voidaan lukea suoraan y-akselilta. Tämä menettely on täysin teoreettinen. Käytännössä ei pidä toimia esitetyllä tavalla. Esimerkkikytkennän Z OUT on 3,7 kω äänitaajuusalueella Lähtöimpedanssin Z OUT riippuvuus taajuudesta Piirikaavio vahv01e.cir AC-analyysi 155

12 Tuloimpedanssi (Input Impedance) Tutkitaan seuraavaksi esimerkkivahvistinasteen tuloimpedanssia. Menettely on sama kuin lähtöimpedanssin määrittelyssä. Korostettakoon vielä, että kyseessä on täysin teoreettinen menettelytapa. Käytännössä ei pidä toimia esitetyllä tavalla. Tuloimpedanssin Z IN määrittäminen simulaation avulla Piirikaavio vahv01f.cir AC-analyysi Tuloimpedanssi Z IN on lähtöimpedanssin Z OUT tapaan taajuusriippuvainen. Esimerkkikytkennän Z IN on 4,8 kω keskitaajuudella Piirikaavio vahv01f.cir AC-analyysi Kirjallisuus, puhuessaan yhteisemitterikytkentäisestä transistorivahvistinasteesta, käyttää ilmaisua keskisuuri tulo- ja lähtöimpedanssi. Analyysin avulla on nyt selvitetty, mistä lukuarvoista on kysymys. Lisäksi analyysituloksista voi päätellä, että jos kyseiseltä vahvistinasteelta olisi jaettava signaali kolmelle samanlaiselle vahvistinasteelle, ne kuormittaisivat ensin mainittua astetta liikaa. Havaittiin myös, että lähtöimpedanssi on voimakkaasti taajuusriippuvainen. Komponenttiarvojen hajonnan vaikutus toimintaan Edellä olemme tutkineet yhden vahvistinasteen toimintaa, jonka vastukset ja kondensaattorit ovat olleet ideaalisia. Niiden arvot ovat olleet täsmälleen sitä, mitä niihin on kirjoitettu. Käytännössä tilanne on aivan toinen. Komponenteilla on nimellisarvo, esim. vastuksella 100 Ω tai kondensaattorilla 47 nf. 156

13 Transient-analyysi esittää RCketjuoskillaattorin käynnistymisen ja sen tuottaman signaalin Piirikaavio osk03a.cir Transient-analyysi Jakson kestoajaksi T saadaan analyysikuvan avulla n. 0,16 ms, jonka perusteella voidaan laskea oskillaattorin värähtelytaajuus f. 1 f = = T 1 0,16 ms 6,3 khz Laskemalla ja simuloimalla saadut arvot poikkeavat vain vähän toisistaan. Vahvistuksen säädön vaikutuksen tutkiminen Seuraavassa kuvasarjassa on alkuperäinen piirikaavio sekä siitä otetut kaksi kopiota. Toisen kytkennän takaisinkytkentävastus R 5 on liian pieni, jolloin oskillaattori ei jaksa värähdellä vaan se sammuu. Kolmannen kytkennän takaisinkytkentävastus R 9 on liian suuri, jolloin lähtösignaalin huiput leikkautuvat eikä värähtelyn aaltomuoto ole enää siniaaltoa. Kolme hieman erilaista RC-ketjuoskillaattorikytkentää Piirikaavio osk03b.cir Transient-analyysi 198

14 Transient-analyysi kertoo ensimmäisen kytkennän toimivan moitteettomasti, mutta toinen kytkentä sammuu ja kolmas tuottaa vääristynyttä aaltomuotoa Piirikaavio osk03b.cir Transient-analyysi Edellä olevasta ilmenee, kuinka helppoa on esim. vahvistuksen asettaminen (potentiometrin tai trimmerin avulla) oikeaksi operaatiovahvistinasteessa verrattuna transistorivahvistinasteeseen. Taajuuden mitoittaminen Kun RC-ketjuoskillaattori halutaan mitoittaa toimimaan tietyllä taajuudella, on helpointa tehdä se siten, että valitaan taajuuteen vaikuttavista komponenteista ensin se, joka on vaikeampi hankkia tai valmistaa. Tässä tapauksessa tietyn kapasitanssin suuruinen kondensaattori on vaikeampi hankkia kuin vastus. Ratkaistaan edellä mainittu yhtälö R:n suhteen. f0 = 2 π 1 6 R C josta R = 2 π 1 6 C f 0 Mitoitetaan RC-ketjuoskillaattori toimimaan 1 khz:n taajuudella. Valitaan ja lasketaan komponenttiarvot ja tarkistetaan tulos simulaation avulla. Asetetaan vahvistuksen arvo sopivaksi, jotta oskillaattorin signaali olisi mahdollisimman sinimuotoinen. Valitaan kondensaattoreiden arvoksi 10 nf ja lasketaan vastusten arvot. 1 1 = = = 6,50 kω 9 2 π 6 C f 0 2 π F 1 10 Hz R 3 Valitaan vastuksen käytännönarvoksi 6,49 kω ja sijoitetaan se piirikaavion vastusarvoksi. Takaisinkytkentävastuksen R 4 arvon on oltava 30 kertaa suurempi kuin ketjussa olevien vastusten arvot. Vastuksen R 4 arvo voidaan kirjoittaa tulomuotoon piirikaavioon, jolloin se on helposti luettavissa ja muutettavissa. 199

15 Tämän oskillaattorin taajuus on sama kuin edellisen, mutta pulssisuhde on nyt symmetrinen Piirikaavio osk07b.cir Transient-analyysi Tutkitaan 555-ajastinpiirin sisäistä kytkentää sen toiminnan ymmärtämiseksi Kuvassa tummennetulla alueella on mallinnettu 555-ajastinpiirin sisäinen kytkentä. Todellisen piirin liitinnastojen numerointi on merkitty myös kuvaan. Tämäkin piirikaaviokuva on interaktiivinen ja sen avulla voidaan perehtyä tarkemmin kyseisen piirin toimintaan. 555-piirin sisäinen kytkentä (tummennettu alue) ja piirin toimiminen oskillaattorina Piirikaavio osk07c.cir Transient-analyysi (Autom. animaatio) Piirikaavio osk07d.cir Transient-analyysi (Manuaalinen animaatio) 211

16 Puolittain sulkeutuneena olevan PNP-kytkintransistorin kanta-, kollektori- ja emitterivirta sekä (oikealla) jännitteet Piirikaavio trans02c.cir Dynaaminen DC-analyysi Kuvassa kantavirta I B ei ole riittävän suuri saattamaan transistoria täysin johtavaan kyllästystilaan SAT, vaan se jää vielä puolijohtavaan LIN-tilaan(LINear), jossa emitterin ja kollektorin välinen kyllästysjännite U SAT on (12,0 V - 8,4 V) 3,6 V. Vasta kantavirran lisääminen kantavastuksen arvoa pienentämällä saattaa tämän kytkintransistorin johtavaan SAT-tilaan, jossa U SAT on (12,0 V 11,8 V) 0,2 V. Sulkutilassa olevan (hyvin sulkeutuneen) PNP-kytkintransistorin kanta-, kollektori- ja emitterivirta sekä (oikealla) jännitteet Piirikaavio trans02d.cir Dynaaminen DC-analyysi Simulaattoriohjelmassa olevia kytkintransistorin tiloja ovat OFF ja SAT. Transistorivahvistimissa olevien transistoreiden tyypillisiä tiloja ovat LIN-tilat. Jos ohjelma ilmoittaa tilaksi HOT (kuuma), se huomauttaa siitä, että transistorin sallittu häviöteho saattaa ylittyä. Se saattaa ylittyä siitä huolimatta, vaikka kollektorivirta ja kollektori-emitterijännitteet olisivat sallituissa rajoissa. Johtamattomassa tilassa (avoimena) olevan PNP-kytkintransistorin kanta-, kollektori- ja emitterivirta sekä (oikealla) jännitteet Piirikaavio trans02e.cir Dynaaminen DC-analyysi Kun transistoria käytetään kytkimenä, on huolehdittava siitä, että sen ollessa johtavassa tilassa johtavuus on riittävän hyvä. Esimerkkinä tästä ovat sivun yläreunassa olevat kuvat. Transistoria on ohjattava riittävän suurella kantavirralla, jotta sen kollektori-emitteriväli kyllästyy eli saturaatiojännite on mahdollisimman pieni. 270

17 Transistorin ominaiskäyrän mallintaminen simulaattoriohjelmalla Seuraavalla mittauskytkennällä voidaan esittää BJT-transistorin lähdön ominaiskäyrästö. Mitattavaa transistoria Q 1 syötetään virtageneraattorilla I B. Tasasähkölähteenä toimii säätyvä jännitelähde (0 V 5 V). Ominaiskäyrien mittauskytkentä, jossa tutkittavana on ohjelmasta suoraan löytyvä 2N2222A-transistori Piirikaavio trans04a.cir DC-analyysi Mittauksen aikana kantavirta I B saa arvoja 100 µa µa sadan µa välein. Nämä määritteet saa tarvittaessa näkyviin DC-analyysin valintaikkunasta. Kutakin kantavirran arvoa vastaava kuvaaja piirtyy kuvaruudulle muodostaen käyrästön. Transistorin 2N2222A ominaiskäyrästö, jossa I C = f(u CE ), I B = 100 µa µa Piirikaavio trans04a.cir DC-analyysi Transistorin BC547A ominaiskäyrän esittämiseksi kuvan mittauskytkentään on sijoitettava transistori BC547A. Sen Internetistä (tai tämän kirjan liitteenä olevalta cd-rom-levyltä) saatava mallinnuslause (Model) on sijoitettava simulaattoriohjelman piirikaavion Teksti-sivulle. Ennen ominaiskäyrien skaalausta tutkitaan lyhyesti, mitä Datasivut kertovat kyseisestä transistorista. Datasivu löytyy myös tämän kirjan cd-rom-liitteestä. BC547A, kytkintransistori V CEO = 45 V (kollektorin ja emitterin välinen enimmäisjännite) I C = 100 ma (kollektorin enimmäisvirta) = 500 mw (kokonaishäviöteho) P TOT 280

18 OR-portin toiminnan tutkiminen simulaation avulla Käynnistämällä Transient-analyysi ja pitämällä jotain näppäimistön painiketta alas painettuna analyysi etenee. Näpäyttämällä hiirellä piirikaavion digitaalikytkintä U1 sen tila vaihtuu nollasta ykköseen (kuvassa n. 1 ms kohdalla). Tällöin lähdön tila muuttuu myös ykköseksi (koordinaatiston alin kuvaaja). Kun digitaalikytkin U1 palautetaan nolla-asentoon (n. 2 ms kohdalla), sammuvat ledit 1 ja 3 ja kuvaajat piirtävät nollatasossa. Näpäyttämällä hiirellä piirikaavion digitaalikytkintä U2 sen tila vaihtuu nollasta ykköseen (kuvassa n. 3 ms kohdalla). Tällöin lähdön tila muuttuu myös ykköseksi. Kun digitaalikytkin U2 palautetaan nolla-asentoon (n. 4 ms kohdalla), sammuvat ledit 1 ja 3 ja kuvaajat piirtävät nollatasossa. 8 ms kohdalla molemmat kytkimet ovat yläasennossa ja kytkennän kaikki ledit palavat. Kun kytkimet palautetaan nolla-asentoon, sammuvat ledit. Piirikaavio dig04a.cir Transient-analyysi (Manuaalinen animaatio) Tässä simulaatiossa digitaalikytkennän kytkentäpisteet on muutettu sivulla 2 (page 2) digitaalisista analogisiksi, jotta animaation aikana simulaattoriohjelman käyttäjällä olisi mahdollisuus käyttää kytkennän digitaalikytkintä. Samoin kytkennän piirtymisnopeus on paremmin hallittavissa ja tarvittaessa säädettävissä muuttamalla Maksimi askellusajan suhdetta Aika-alueeseen. Kuvassa Maksimi askellusaika on sadasosa Aika-alueen arvosta. Mitä pienempi Maksimi askellusaika on suhteessa Aika-alueen arvoon, sitä hitaammin (ja tarkemmin) kuvaaja piirtyy. 286

19 Laskinkytkentä (Counter circuit) Digitaalitekniikan peruskomponentteja ovat portit, kiikut ja laskimet. Tämä kappale käsittelee niistä muodostuvaa kytkentää. Esimerkissä on käytetty kahta animaatiokomponenttia, lediä ja seitsensegmenttinäyttöä (seven segment), joita ei ole mallinnettu samalla tavalla kuin muita ohjelman komponentteja. Nämä animaatiokomponenteiksi kutsutut komponentit esiintyvät sähköisen mallinnuksen sijasta animaatiomallinnuksina. Tutkittava piiri on laskinkytkentä, jossa kellopulssioskillaattorissa syntyvä signaali kulkee puskurin, transistorin ja kiikun läpi kymmenjakajalle Kuvan kytkennässä oskillaattori synnyttää suorakaideaaltosignaalin, jota kaksinumeroinen ylös-laskin (up counter) lähtee laskemaan. Mallinnuksen aikana ledi vilkkuu ja seitsensegmenttinäytöt näyttävät lukuarvoja. Piirikaavio dig01a.cir Transient-analyysi (Autom. animaatio) Toiminnan kuvaus Ohjelman kiinteä logiikan ykköstila, joka voidaan asettaa myös nollaksi Piirikaaviokuvan ensimmäisen mikropiirin IC 1 osa A toimii CMOS-oskillaattorina. Samassa mikropiirissä oleva osa B toimii puskurina (buffer). Seuraavana on transistorilla toteutettu invertteri, joka toimii samalla tavalla kuin sitä edeltävä puskuri. Tämän jälkeen on vuorossa mikropiiri IC 2, jonka osa A on toinen kahdesta JKkiikusta. Sen valmiustulot J ja K on kytketty loogiseen 1-tilaan, jolloin kiikku toimii T-kiikkuna (Toggle flip-flop). T-kiikun lähdöstä 1Q saatava pulssi on taajuudeltaan puolet sen sisään tulevan pulssin taajuudesta. Ohjelman stimulusgeneraattori (herätegeneraattori), jossa merkinnät tarkoittavat, että aluksi tila on nolla, mutta 1 µs kuluttua se vaihtuu ykköseksi ja pysyy siinä Kiikun merkinnöissä oleva sana bar tarkoittaa viivaa (esim. 1Qbar = 1Q). Käänteisellä tulolla oleva esiasettelu (1PREbar) on kytketty ykköstilaan. Käänteisellä tulolla oleva nollaus (1CLRbar) on kytketty myös ykköstilaan, mutta se on simulaattoriohjelman vaatimuksesta tehty ohjelman stimulusgeneraattorin avulla. Kiikku ei ymmärrä, mihin tilaan sen pitäisi asettua sähkön kytkeytymisen jälkeen. Tämä stimulusgeneraattorin antama lyhyt nollauspulssi (0 µs 1 µs) asettaa kiikun oikeaan tilaan. Mikropiiri IC 3 on 10-jakaja (decade counter), joka muodostuu kahdesta jakajasta. Ensimmäinen jakaja jakaa pulssin kahdella (aivan kuin edellä ollut kiikkukin). Sen tulonasta on CKA (clock A) ja lähtönasta QA. Ulkoisella johdo- 299

20 Kolmivaihesähkölähde (Three Phase Power Supply) Kuvan virtapiirissä on liitetty kolmivaiheverkkoon eräiden kulutuskojeiden yksinkertaisia malleja. Kolmivaiheinen liesi on kytketty siten, että se kuormittaa vaiheita epäsymmetrisesti. Sähkömoottorit on kytketty kolmio- ja tähtikytkentään. Kulutuskojeita kolmivaiheverkossa Piirikaavio mitt25a.cir Transient-analyysi Kolmivaihesähkölähde on muodostettu kolmesta siniaaltogeneraattorista, joiden keskinäinen vaihekulma on säädetty 120 asteeseen. Oheisista yksittäisten generaattoreiden mallinnuslausekkeista (.model) ilmenevät generaattoreiden nimet (L 1, L 2, L 3 ), aaltomuoto (sin), taajuus (50 Hz), amplitudi (230V*sqrt(2)) ja generaattoreiden keskinäinen vaihesiirto radiaaneina. Nämä mallinnuslausekkeet voivat sijaita tekstisivulla tai piirikaaviosivulla. Piirikaaviokuvassa on käytetty piirrosobjekteja (viivat, ympyrät, suorakaiteet) esityksen havainnollistamiseksi. Piirrosobjektin viiva ei mallinnu samalla tavoin kuin johdin. 354

MICRO-CAP: in lisäominaisuuksia

MICRO-CAP: in lisäominaisuuksia MICRO-CAP: in lisäominaisuuksia Jännitteellä ohjattava kytkin Pulssigeneraattori AC/DC jännitelähde ja vakiovirtageneraattori Muuntaja Tuloimpedanssin mittaus Makrot mm. VCO, Potentiometri, PWM ohjain,

Lisätiedot

OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia

OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala TYÖ 11 ELEKTRONIIKAN LABORAATIOT H.Honkanen OPERAATIOVAHVISTIMET 2. Operaatiovahvistimen ominaisuuksia TYÖN TAVOITE Tutustua operaatiovahvistinkytkentään

Lisätiedot

LOPPURAPORTTI 19.11.2007. Lämpötilahälytin. 0278116 Hans Baumgartner xxxxxxx nimi nimi

LOPPURAPORTTI 19.11.2007. Lämpötilahälytin. 0278116 Hans Baumgartner xxxxxxx nimi nimi LOPPURAPORTTI 19.11.2007 Lämpötilahälytin 0278116 Hans Baumgartner xxxxxxx nimi nimi KÄYTETYT MERKINNÄT JA LYHENTEET... 3 JOHDANTO... 4 1. ESISELOSTUS... 5 1.1 Diodi anturina... 5 1.2 Lämpötilan ilmaisu...

Lisätiedot

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11.

OPERAATIOVAHVISTIN. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö. Elektroniikan laboratoriotyö. Työryhmä Selostuksen kirjoitti 11.11. Oulun seudun ammattikorkeakoulu Tekniikan yksikkö Elektroniikan laboratoriotyö OPERAATIOVAHVISTIN Työryhmä Selostuksen kirjoitti 11.11.008 Kivelä Ari Tauriainen Tommi Tauriainen Tommi 1 TEHTÄVÄ Tutustuimme

Lisätiedot

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008

Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Taitaja2008, Elektroniikkalajin semifinaali 24.1.2008 Kilpailijan nimi: 1) Oheisen kytkennän kokonaisresistanssi on n. 33 Ohm 150 Ohm a) 70 Ohmia b) 100 Ohmia c) 120 Ohmia 120 Ohm 2) Oheisen kytkennän

Lisätiedot

ELEKTRONIIKAN PERUSTEET T700504

ELEKTRONIIKAN PERUSTEET T700504 ELEKTRONIIKAN PERUSTEET T700504 syksyllä 2014 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

Multivibraattorit. Bistabiili multivibraattori:

Multivibraattorit. Bistabiili multivibraattori: Multivibraattorit Elektroniikan piiri jota käytetään erilaisissa kahden tason systeemeissä kuten oskillaattorit, ajastimet tai kiikkut. Multivibraattorissa on vahvistava elementtti ja ristiinkytketyt rvastukset

Lisätiedot

Taitaja2004/Elektroniikka Semifinaali 19.11.2003

Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Taitaja2004/Elektroniikka Semifinaali 19.11.2003 Teoriatehtävät Nimi: Oppilaitos: Ohje: Tehtävät ovat suurimmaksi osaksi vaihtoehtotehtäviä, mutta tarkoitus on, että lasket tehtävät ja valitset sitten

Lisätiedot

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010

S-108.3020 Elektroniikan häiriökysymykset. Laboratoriotyö, kevät 2010 1/7 S-108.3020 Elektroniikan häiriökysymykset Laboratoriotyö, kevät 2010 Häiriöiden kytkeytyminen yhteisen impedanssin kautta lämpötilasäätimessä Viimeksi päivitetty 25.2.2010 / MO 2/7 Johdanto Sähköisiä

Lisätiedot

S SÄHKÖTEKNIIKKA Kimmo Silvonen

S SÄHKÖTEKNIIKKA Kimmo Silvonen S55.0 SÄHKÖTEKNKKA 9.5.000 Kimmo Silvonen Tentti: tehtävät,,5,8,9. välikoe: tehtävät,,,4,5. välikoe: tehtävät 6,7,8,9,0 Oletko muistanut vastata palautekyselyyn Voit täyttää lomakkeen nyt.. aske virta.

Lisätiedot

Elektroniikan perusteet, Radioamatööritutkintokoulutus

Elektroniikan perusteet, Radioamatööritutkintokoulutus Elektroniikan perusteet, Radioamatööritutkintokoulutus Antti Karjalainen, PRK 30.10.2014 Komponenttien esittelytaktiikka Toiminta, (Teoria), Käyttö jännite, virta, teho, taajuus, impedanssi ja näiden yksiköt:

Lisätiedot

1 f o. RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET. U r = I. t τ. t τ. 1 f O. KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala

1 f o. RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET. U r = I. t τ. t τ. 1 f O. KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala TYÖ 7 ELEKTRONIIKAN LABORAATIOT H.Honkanen RC OSKILLAATTORIT ja PASSIIVISET SUODATTIMET TYÖN TAVOITE - Mitoittaa ja toteuttaa RC oskillaattoreita

Lisätiedot

DIODIN OMINAISKÄYRÄ TRANSISTORIN OMINAISKÄYRÄSTÖ

DIODIN OMINAISKÄYRÄ TRANSISTORIN OMINAISKÄYRÄSTÖ 1 IOIN OMINAISKÄYRÄ JA TRANSISTORIN OMINAISKÄYRÄSTÖ MOTIVOINTI Työ opettaa mittaamaan erityyppisten diodien ominaiskäyrät käyttämällä oskilloskooppia XYpiirturina Työssä opetellaan mittaamaan transistorin

Lisätiedot

CC-ASTE. Kuva 1. Yksinkertainen CC-vahvistin, jossa virtavahvistus B + 1. Kuva 2. Yksinkertaisen CC-vahvistimen simulaatio

CC-ASTE. Kuva 1. Yksinkertainen CC-vahvistin, jossa virtavahvistus B + 1. Kuva 2. Yksinkertaisen CC-vahvistimen simulaatio CC-ASTE Yhteiskollektorivahvistin eli emitteriseuraaja on vahvistinkytkentä, jota käytetään jännitepuskurina. Sisääntulo on kannassa ja ulostulo emitterissä. Koska transistorin kannan ja emitterin välinen

Lisätiedot

Elektroniikan perusteet, Radioamatööritutkintokoulutus

Elektroniikan perusteet, Radioamatööritutkintokoulutus Elektroniikan perusteet, Radioamatööritutkintokoulutus Antti Karjalainen, PRK 14.11.2013 Komponenttien esittelytaktiikka Toiminta, (Teoria), Käyttö jännite, virta, teho, taajuus, impedanssi ja näiden yksiköt:

Lisätiedot

ELEKTRONIIKAN PERUSTEET

ELEKTRONIIKAN PERUSTEET ELEKTRONIIKAN PERUSTEET Juha Aaltonen Seppo Kousa Jyrki Stor-Pellinen A.T.S.S.: J.B.-B. 4 DRW: Spi CHK: JPA Elektroniikan Perusteet SHEET 193 OF 390 DRAWING NO:5.19 Sisällys 1 Johdanto.............................................

Lisätiedot

MITTALAITTEIDEN OMINAISUUKSIA ja RAJOITUKSIA

MITTALAITTEIDEN OMINAISUUKSIA ja RAJOITUKSIA KAJAANIN AMMATTIKORKEAKOL Tekniikan ja liikenteen ala TYÖ 21 ELEKTRONIIKAN LABORAATIOT H.Honkanen MITTALAITTEIDEN OMINAISKSIA ja RAJOITKSIA TYÖN TAVOITE: Tässä laboratoriotyössä tutustumme mittalaitteiden

Lisätiedot

Tehtävä 8. Jännitelähteenä käytetään yksipuolista 12 voltin tasajännitelähdettä.

Tehtävä 8. Jännitelähteenä käytetään yksipuolista 12 voltin tasajännitelähdettä. Tehtävä 8 1. Suunnittele Micro-Cap-simulaatio-ohjelman avulla kaistanpäästösuodin, jonka -alarajataajuus f A = 100 Hz @-3 db -ylärajataajuus f Y = 20 khz @-3 db -jännitevahvistus A U = 2 Jännitelähteenä

Lisätiedot

ELEC-C6001 Sähköenergiatekniikka, laskuharjoitukset oppikirjan lukuun 10 liittyen.

ELEC-C6001 Sähköenergiatekniikka, laskuharjoitukset oppikirjan lukuun 10 liittyen. ELEC-C6001 Sähköenergiatekniikka, laskuharjoitukset oppikirjan lukuun 10 liittyen. X.X.2015 Tehtävä 1 Bipolaaritransistoria käytetään alla olevan kuvan mukaisessa kytkennässä, jossa V CC = 40 V ja kuormavastus

Lisätiedot

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta.

Operaatiovahvistimen vahvistus voidaan säätää halutun suuruiseksi käyttämällä takaisinkytkentävastusta. TYÖ 11. Operaatiovahvistin Operaatiovahvistin on mikropiiri ( koostuu useista transistoreista, vastuksista ja kondensaattoreista juotettuna pienelle piipalaselle ), jota voidaan käyttää useisiin eri kytkentöihin.

Lisätiedot

TYÖ 58. VAIMENEVA VÄRÄHTELY, TASASUUNTAUS JA SUODATUS. Tehtävänä on vaimenevan värähtelyn, tasasuuntauksen ja suodatuksen tutkiminen oskilloskoopilla.

TYÖ 58. VAIMENEVA VÄRÄHTELY, TASASUUNTAUS JA SUODATUS. Tehtävänä on vaimenevan värähtelyn, tasasuuntauksen ja suodatuksen tutkiminen oskilloskoopilla. TYÖ 58. VAIMENEVA VÄRÄHTELY, TASASUUNTAUS JA SUODATUS Tehtävä Välineet Tehtävänä on vaimenevan värähtelyn, tasasuuntauksen ja suodatuksen tutkiminen oskilloskoopilla. Kaksoiskanavaoskilloskooppi KENWOOD

Lisätiedot

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto. 2 Teoreettista taustaa

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto. 2 Teoreettista taustaa FYSP105/2 VAIHTOVIRTAKOMPONENTIT Työn tavoitteita o Havainnollistaa vaihtovirtapiirien toimintaa o Syventää ymmärtämystä aiheeseen liittyvästä fysiikasta 1 Johdanto Tasavirta oli 1900 luvun alussa kilpaileva

Lisätiedot

Mittalaitetekniikka. NYMTES13 Vaihtosähköpiirit Jussi Hurri syksy 2014

Mittalaitetekniikka. NYMTES13 Vaihtosähköpiirit Jussi Hurri syksy 2014 Mittalaitetekniikka NYMTES13 Vaihtosähköpiirit Jussi Hurri syksy 2014 1 1. VAIHTOSÄHKÖ, PERUSKÄSITTEITÄ AC = Alternating current Jatkossa puhutaan vaihtojännitteestä. Yhtä hyvin voitaisiin tarkastella

Lisätiedot

Taitaja2007/Elektroniikka

Taitaja2007/Elektroniikka 1. Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä saatavaa virtaa b) rikkoo jännitelähteet c) pienentää kytkennästä saatavaa virtaa d) ei vaikuta jännitelähteistä saatavan virran suuruuteen 2.

Lisätiedot

Kaikki kytkennät tehdään kytkentäalustalle (bimboard) ellei muuta mainita.

Kaikki kytkennät tehdään kytkentäalustalle (bimboard) ellei muuta mainita. FYSE300 Elektroniikka 1 (FYSE301 FYSE302) Elektroniikka 1:n (FYSE300) laboratorioharjoitukset sisältävät kaksi työtä, joista ensimmäinen sisältyy A-osaan (FYSE301) ja toinen B-osaan (FYSE302). Pelkän A-osan

Lisätiedot

Kannattaa opetella parametrimuuttujan käyttö muidenkin suureiden vaihtelemiseen.

Kannattaa opetella parametrimuuttujan käyttö muidenkin suureiden vaihtelemiseen. 25 Mikäli tehtävässä piti määrittää R3:lle sellainen arvo, että siinä kuluva teho saavuttaa maksimiarvon, pitäisi variointirajoja muuttaa ( ja ehkä tarkentaa useampaankin kertaan ) siten, että R3:ssä kulkeva

Lisätiedot

R = Ω. Jännite R:n yli suhteessa sisäänmenojännitteeseen on tällöin jännitteenjako = 1

R = Ω. Jännite R:n yli suhteessa sisäänmenojännitteeseen on tällöin jännitteenjako = 1 Fysiikan mittausmenetelmät I syksy 206 Laskuharjoitus 4. Merkitään kaapelin resistanssin ja kuormaksi kytketyn piirin sisäänmenoimpedanssia summana R 000.2 Ω. Jännite R:n yli suhteessa sisäänmenojännitteeseen

Lisätiedot

Kondensaattorin läpi kulkeva virta saadaan derivoimalla yhtälöä (2), jolloin saadaan

Kondensaattorin läpi kulkeva virta saadaan derivoimalla yhtälöä (2), jolloin saadaan VAIHTOVIRTAPIIRI 1 Johdanto Vaihtovirtapiirien käsittely perustuu kolmen peruskomponentin, vastuksen (resistanssi R), kelan (induktanssi L) ja kondensaattorin (kapasitanssi C) toimintaan. Tarkastellaan

Lisätiedot

RATKAISUT: 22. Vaihtovirtapiiri ja resonanssi

RATKAISUT: 22. Vaihtovirtapiiri ja resonanssi Physica 9. painos (0) RATKAST. Vaihtovirtapiiri ja resonanssi RATKAST:. Vaihtovirtapiiri ja resonanssi. a) Vaihtovirran tehollinen arvo on yhtä suuri kuin sellaisen tasavirran arvo, joka tuottaa vastuksessa

Lisätiedot

1. a) Piiri sisältää vain resistiivisiä komponentteja, joten jännitteenjaon tulos on riippumaton taajuudesta.

1. a) Piiri sisältää vain resistiivisiä komponentteja, joten jännitteenjaon tulos on riippumaton taajuudesta. Fysiikan mittausmenetelmät I syksy 2013 Malliratkaisut 3 1. a) Piiri sisältää vain resistiivisiä komponentteja, joten jännitteenjaon tulos on riippumaton taajuudesta. b) Ulostulo- ja sisäänmenojännitteiden

Lisätiedot

FYS206/5 Vaihtovirtakomponentit

FYS206/5 Vaihtovirtakomponentit FYS206/5 Vaihtovirtakomponentit Tässä työssä pyritään syventämään vaihtovirtakomponentteihin liittyviä käsitteitä. Tunnetusti esimerkiksi käsitteet impedanssi, reaktanssi ja vaihesiirto ovat aina hyvin

Lisätiedot

TYÖ 2: OPERAATIOVAHVISTIMEN PERUSKYTKENTÖJÄ

TYÖ 2: OPERAATIOVAHVISTIMEN PERUSKYTKENTÖJÄ TYÖ 2: OPERAATIOVAHVISTIMEN PERUSKYTKENTÖJÄ Työselostus xxx yyy, ZZZZZsn 25.11.20nn Automaation elektroniikka OAMK Tekniikan yksikkö SISÄLLYS SISÄLLYS 2 1 JOHDANTO 3 2 LABORATORIOTYÖN TAUSTA JA VÄLINEET

Lisätiedot

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto

FYSP105/2 VAIHTOVIRTAKOMPONENTIT. 1 Johdanto FYSP105/2 VAIHTOVIRTAKOMPONENTIT Työn tavoitteet o Havainnollistaa vaihtovirtapiirien toimintaa o Syventää ymmärtämystä aiheeseen liittyvästä fysiikasta 1 Johdanto Tasavirta oli 1900 luvun alussa kilpaileva

Lisätiedot

LABORAATIO 1, YLEISMITTARI JA PERUSMITTAUKSET

LABORAATIO 1, YLEISMITTARI JA PERUSMITTAUKSET KAJAANIN AMMATTIKORKEAKOULU Tekniikan ja liikenteen ala VAHVAVIRTATEKNIIKAN LABORAATIOT H.Honkanen LABORAATIO 1, YLEISMITTARI JA PERUSMITTAUKSET YLEISTÄ YLEISMITTARIN OMINAISUUKSISTA: Tässä laboratoriotyössä

Lisätiedot

VAIHTOVIRTAPIIRI. 1 Työn tavoitteet

VAIHTOVIRTAPIIRI. 1 Työn tavoitteet Oulun yliopisto Fysiikan opetuslaboratorio Sähkö- ja magnetismiopin laboratoriotyöt AHTOTAP Työn tavoitteet aihtovirran ja jännitteen suunta vaihtelee ajan funktiona. Esimerkiksi Suomessa käytettävä verkkovirta

Lisätiedot

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä

Taitaja2005/Elektroniikka. 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 1) Resistanssien sarjakytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden rinnankytkentä 2) Kahdesta rinnankytketystä sähkölähteestä a) kuormittuu enemmän se, kummalla on

Lisätiedot

C 2. + U in C 1. (3 pistettä) ja jännite U C (t), kun kytkin suljetaan ajanhetkellä t = 0 (4 pistettä). Komponenttiarvot ovat

C 2. + U in C 1. (3 pistettä) ja jännite U C (t), kun kytkin suljetaan ajanhetkellä t = 0 (4 pistettä). Komponenttiarvot ovat S-87.2 Tentti 6..2007 ratkaisut Vastaa kaikkiin neljään tehtävään! C 2 I J 2 C C U C Tehtävä atkaise virta I ( pistettä), siirtofunktio F(s) = Uout ( pistettä) ja jännite U C (t), kun kytkin suljetaan

Lisätiedot

SÄHKÖENERGIATEKNIIIKKA. Harjoitus - luento 7. Tehtävä 1

SÄHKÖENERGIATEKNIIIKKA. Harjoitus - luento 7. Tehtävä 1 SÄHKÖENERGIATEKNIIIKKA Harjoitus - luento 7 Tehtävä 1 Bipolaaritransistoria käytetään alla olevan kuvan mukaisessa kytkennässä, jossa V CC = 40 V ja kuormavastus R L = 10 ς. Kyllästysalueella kollektori-emitterijännite

Lisätiedot

Analogiapiirit III. Keskiviikko 4.12.2002, klo. 12.15-14.00, TS128. Operaatiovahvistinrakenteet

Analogiapiirit III. Keskiviikko 4.12.2002, klo. 12.15-14.00, TS128. Operaatiovahvistinrakenteet Oulun yliopisto Sähkötekniikan osasto Analogiapiirit III Harjoitus 2. Keskiviikko 4.12.2002, klo. 12.15-14.00, TS128. Operaatiovahvistinrakenteet 1. Analysoi kuvan 1 operaatiotranskonduktanssivahvistimen

Lisätiedot

EVTEK/ Antti Piironen & Pekka Valtonen 1/6 TM01S/ Elektroniikan komponentit ja järjestelmät Laboraatiot, Syksy 2003

EVTEK/ Antti Piironen & Pekka Valtonen 1/6 TM01S/ Elektroniikan komponentit ja järjestelmät Laboraatiot, Syksy 2003 EVTEK/ Antti Piironen & Pekka Valtonen 1/6 TM01S/ Elektroniikan komponentit ja järjestelmät Laboraatiot, Syksy 2003 LABORATORIOTÖIDEN OHJEET (Mukaillen työkirjaa "Teknillisten oppilaitosten Elektroniikka";

Lisätiedot

Analogiapiirit III. Keskiviikko , klo , TS127. Jatkuva-aikaiset IC-suodattimet ja PLL-rakenteet

Analogiapiirit III. Keskiviikko , klo , TS127. Jatkuva-aikaiset IC-suodattimet ja PLL-rakenteet Oulun yliopisto Sähkötekniikan osasto Analogiapiirit III Harjoitus 8. Keskiviikko 5.2.2003, klo. 12.15-14.00, TS127. Jatkuva-aikaiset IC-suodattimet ja PLL-rakenteet 1. Mitoita kuvan 1 2. asteen G m -C

Lisätiedot

Kondensaattorin läpi kulkeva virta saadaan derivoimalla yhtälöä (2), jolloin saadaan. cos sin.

Kondensaattorin läpi kulkeva virta saadaan derivoimalla yhtälöä (2), jolloin saadaan. cos sin. VAIHTOVIRTAPIIRI 1 Johdanto Vaihtovirtapiirien käsittely perustuu kolmen peruskomponentin, vastuksen (resistanssi R), kelan (induktanssi L) ja kondensaattorin (kapasitanssi C) toimintaan. Tarkastellaan

Lisätiedot

VIM RM1 VAL0123136 / SKC9068201 VIBRATION MONITOR RMS-MITTAUSJÄRJESTELMÄLLE KÄSIKIRJA. VIM-RM1 FI.docx 1998-06-04 / BL 1(5)

VIM RM1 VAL0123136 / SKC9068201 VIBRATION MONITOR RMS-MITTAUSJÄRJESTELMÄLLE KÄSIKIRJA. VIM-RM1 FI.docx 1998-06-04 / BL 1(5) VIM RM1 VAL0123136 / SKC9068201 VIBRATION MONITOR RMS-MITTAUSJÄRJESTELMÄLLE KÄSIKIRJA FI.docx 1998-06-04 / BL 1(5) SISÄLTÖ 1. KOMPONENTTIEN SIJAINTI 2. TOIMINNAN KUVAUS 3. TEKNISET TIEDOT 4. SÄÄTÖ 5. KALIBROINTI

Lisätiedot

ELEKTRONIIKAN PERUSTEET T320003

ELEKTRONIIKAN PERUSTEET T320003 ELEKTRONIIKAN PERUSTEET T320003 syksyllä 2013 OSA 2 Veijo Korhonen 4. Bipolaaritransistorit Toiminta Pienellä kantavirralla voidaan ohjata suurempaa kollektorivirtaa (kerroin β), toimii vahvistimena -

Lisätiedot

UNIVERSITY OF JYVÄSKYLÄ LABORATORY WORKS. For analog electronics FYSE400 Loberg D E P A R T M E N T O F P H Y S I C S

UNIVERSITY OF JYVÄSKYLÄ LABORATORY WORKS. For analog electronics FYSE400 Loberg D E P A R T M E N T O F P H Y S I C S UNIVESITY OF JYVÄSKYLÄ LABOATOY WOKS For analog electronics FYSE400 Loberg 2010 D E P A T M E N T O F P H Y S I C S 2 P a g e 3 P a g e 4 P a g e Contents 1 Shortly about Multisim... 7 2 Ominaiskäyrästön

Lisätiedot

5.1.Jännitelähde + 15 V 10 A

5.1.Jännitelähde + 15 V 10 A 1 5.1.Jännitelähde + 15 V 10 A Kuva 5.1.1 Kytkentäkaavio + 15 Vbv Tämä säädin on aivan rutiininomainen tyristoreja (SCR) lukuunottamatta. Transistorit T1 ja T2 muodostavat jännitelähteen laitteen omia

Lisätiedot

Mitataan kanavatransistorin ja bipolaaritransistorin ominaiskäyrät. Tutustutaan yhteisemitterikytketyn transistorivahvistimen ominaisuuksiin.

Mitataan kanavatransistorin ja bipolaaritransistorin ominaiskäyrät. Tutustutaan yhteisemitterikytketyn transistorivahvistimen ominaisuuksiin. FYSE300 Elektroniikka 1 Elektroniikka 1:n (FYSE300) laboratorioharjoitukset sisältävät kaksi työtä: Työ 1: (osa A) Työ 2: (osa B) Peruskomponentit: vastus, diodi ja zenerdiodi. Tutkitaan vastuksen käyttöä

Lisätiedot

CRT NÄYTÖN VAAKAPOIKKEUTUS- ASTEEN PERIAATE

CRT NÄYTÖN VAAKAPOIKKEUTUS- ASTEEN PERIAATE CRT NÄYTÖN VAAKAPOIKKEUTUS- ASTEEN PERIAATE H. Honkanen Kuvaputkinäytön vaakapoikkeutusaste on värähtelypiirin ja tehoasteen sekoitus. Lisäksi tahdistuksessa on käytettävä vaihelukittua silmukkaa ( PLL

Lisätiedot

Kuva 1. Vastus (R), kondensaattori (C) ja käämi (L). Sinimuotoinen vaihtojännite

Kuva 1. Vastus (R), kondensaattori (C) ja käämi (L). Sinimuotoinen vaihtojännite TYÖ 54. VAIHE-EO JA ESONANSSI Tehtävä Välineet Taustatietoja Tehtävänä on mitata ja tutkia jännitteiden vaihe-eroa vaihtovirtapiirissä, jossa on kaksi vastusta, vastus ja käämi sekä vastus ja kondensaattori.

Lisätiedot

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa

Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Taitaja2010, Iisalmi Suunnittelutehtävä, teoria osa Nimi: Pisteet: Koulu: Lue liitteenä jaettu artikkeli Solar Lamp (Elector Electronics 9/2005) ja selvitä itsellesi laitteen toiminta. Tätä artikkelia

Lisätiedot

1. Mittausjohdon valmistaminen 10 p

1. Mittausjohdon valmistaminen 10 p 1 1. Mittausjohdon valmistaminen 10 p Valmista kuvan mukainen BNC-hauenleuka x2 -liitosjohto. Johtimien on oltava yhtä pitkät sekä mittojen mukaiset. 60 100 mm 1 000 mm Puukko ja BNC-puristustyökalu ovat

Lisätiedot

Aineopintojen laboratoriotyöt I. Ominaiskäyrät

Aineopintojen laboratoriotyöt I. Ominaiskäyrät Aineopintojen laboratoriotyöt I Ominaiskäyrät Aki Kutvonen Op.nmr 013185860 assistentti: Tommi Järvi työ tehty 31.10.2008 palautettu 28.11.2008 Tiivistelmä Tutkittiin elektroniikan peruskomponenttien jännite-virtaominaiskäyriä

Lisätiedot

Elektroniikka. Mitä sähkö on. Käsitteistöä

Elektroniikka. Mitä sähkö on. Käsitteistöä Elektroniikka Mitä sähkö on Sähkö on elektronien liikettä atomista toiseen. Negatiivisesti varautuneet elektronit siirtyvät atomista toiseen. Tätä kutsutaan sähkövirraksi Sähkövirrasta puhuttaessa on sovittu,

Lisätiedot

IIZE3010 Elektroniikan perusteet Harjoitustyö. Pasi Vähämartti, C1303, IST4SE

IIZE3010 Elektroniikan perusteet Harjoitustyö. Pasi Vähämartti, C1303, IST4SE IIZE3010 Elektroniikan perusteet Harjoitustyö Pasi Vähämartti, C1303, IST4SE 2 (11) Sisällysluettelo: 1. Tehtävänanto...3 2. Peruskytkentä...4 2.1. Peruskytkennän käyttäytymisanalyysi...5 3. Jäähdytyksen

Lisätiedot

EMC Mittajohtimien maadoitus

EMC Mittajohtimien maadoitus EMC Mittajohtimien maadoitus Anssi Ikonen EMC - Mittajohtimien maadoitus Mittajohtimet ja maadoitus maapotentiaalit harvoin samassa jännitteessä => maadoitus molemmissa päissä => maavirta => häiriöjännite

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNIIKKA JA KTONIIKKA Tentti 4.5.2009: tehtävät,,4,6,9. välikoe: tehtävät,2,,4,5 2. välikoe: tehtävät 6,7,8,9,0 Saat vastata vain neljään tehtävään/koe. Sallitut: Kako, (gr.) laskin, (MAO)..

Lisätiedot

IMPEDANSSIMITTAUKSIA. 1 Työn tavoitteet

IMPEDANSSIMITTAUKSIA. 1 Työn tavoitteet 1 IMPEDANSSIMITTAUKSIA 1 Työn tavoitteet Tässä työssä tutustut vaihtojännitteiden ja virtojen sekä vaihtovirtapiirissä olevien komponenttien impedanssien suuruuksien eli vaihtovirtavastusten mittaamiseen.

Lisätiedot

Sähkötekniikan perusteet

Sähkötekniikan perusteet Sähkötekniikan perusteet 1) Resistanssien rinnankytkentä kuormittaa a) enemmän b) vähemmän c) yhtä paljon sähkölähdettä kuin niiden sarjakytkentä 2) Jännitelähteiden sarjakytkentä a) suurentaa kytkennästä

Lisätiedot

Radioamatöörikurssi 2013

Radioamatöörikurssi 2013 Radioamatöörikurssi 2013 Polyteknikkojen Radiokerho Radiotekniikka 21.11.2013 Tatu, OH2EAT 1 / 19 Vahvistimet Vahvistin ottaa signaalin sisään ja antaa sen ulos suurempitehoisena Tehovahvistus, db Jännitevahvistus

Lisätiedot

Vcc. Vee. Von. Vip. Vop. Vin

Vcc. Vee. Von. Vip. Vop. Vin 5-87.2020 Elektroniikka II Tentti ja välikoeuusinnat 27.05.2011 1. Våitikokeen tehtiivät l-4,2. välikokeen tehtävät 5-8 ja tentin tehtävät l,2,6ja 8. Kirjoita nimesi ja opiskelijanumerosi jokaiseen paperiin

Lisätiedot

FYSP105 / K3 RC-SUODATTIMET

FYSP105 / K3 RC-SUODATTIMET FYSP105 / K3 R-SODATTIMET Työn tavoitteita tutustua R-suodattimien toimintaan oppia mitoittamaan tutkittava kytkentä laiterajoitusten mukaisesti kerrata oskilloskoopin käyttöä vaihtosähkömittauksissa Työssä

Lisätiedot

S SÄHKÖTEKNIIKKA Kimmo Silvonen

S SÄHKÖTEKNIIKKA Kimmo Silvonen S55.103 SÄHKÖTKNKK 21.12.2000 Kimmo Silvonen Tentti: tehtävät 1,3,4,8,9 1. välikoe: tehtävät 1,2,3,4,5 2. välikoe: tehtävät,7,8,9,10 Oletko jo ehtinyt vastata palautekyselyyn Voit täyttää lomakkeen nyt.

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNIIKKA JA KTONIIKKA Tentti 9..006: tehtävät,3,5,7,9. välikoe: tehtävät,,3,4,5. välikoe: tehtävät 6,7,8,9,0 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita! Kimmo Silvonen.

Lisätiedot

4B. Tasasuuntauksen tutkiminen oskilloskoopilla.

4B. Tasasuuntauksen tutkiminen oskilloskoopilla. TURUN AMMATTIKORKEAKOULU TYÖOHJE 1 4B. Tasasuuntauksen tutkiminen oskilloskoopilla. Teoriaa oskilloskoopista Oskilloskooppi on laite, joka muuttaa sähköisen signaalin näkyvään muotoon. Useimmiten sillä

Lisätiedot

A. SMD-kytkennän kokoaminen ja mittaaminen

A. SMD-kytkennän kokoaminen ja mittaaminen A. SMD-kytkennän kokoaminen ja mittaaminen Avaa tarvikepussi ja tarkista komponenttien lukumäärä sekä nimellisarvot pakkauksessa olevan osaluettelon avulla. Ilmoita mahdollisista puutteista tai virheistä

Lisätiedot

LABORATORIOTYÖ 1 MITTAUSVAHVISTIMET

LABORATORIOTYÖ 1 MITTAUSVAHVISTIMET Työ 1 Mittausvahvistimet LABORATORIOTYÖ 1 MITTAUSVAHVISTIMET Päivitetty: 5/01/010 TP 1 1 Työ 1 Mittausvahvistimet 1. MITTAUSVAHVISTIMET Työn tarkoitus: Työn tarkoituksena on tutustua operaatiovahvistimen

Lisätiedot

SÄHKÖ KÄSITTEENÄ. Yleisnimitys suurelle joukolle ilmiöitä ja käsitteitä:

SÄHKÖ KÄSITTEENÄ. Yleisnimitys suurelle joukolle ilmiöitä ja käsitteitä: FY6 SÄHKÖ Tavoitteet Kurssin tavoitteena on, että opiskelija ymmärtää sähköön liittyviä peruskäsitteitä, tutustuu mittaustekniikkaan osaa tehdä sähköopin perusmittauksia sekä rakentaa ja tutkia yksinkertaisia

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.1100 SÄHKÖTKNIIKKA A KTONIIKKA Tentti 0.1.006: tehtävät 1,3,4,6,8 1. välikoe: tehtävät 1,,3,4,5. välikoe: tehtävät 6,7,8,9,10 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita! Kimmo

Lisätiedot

1. Tasavirtapiirit ja Kirchhoffin lait

1. Tasavirtapiirit ja Kirchhoffin lait Kimmo Silvonen, Sähkötekniikka ja elektroniikka, Otatieto 2003. Tasavirtapiirit ja Kirchhoffin lait Sähkötekniikka ja elektroniikka, sivut 5-62. Versio 3..2004. Kurssin Sähkötekniikka laskuharjoitus-,

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNKKA JA KTONKKA Tentti 5.5.008: tehtävät,3,4,6,9. välikoe: tehtävät,,3,4,5. välikoe: tehtävät 6,7,8,9,0 Saat vastata vain neljään tehtävään/koe; ne sinun pitää itse valita! Kimmo Silvonen.

Lisätiedot

Radioamatöörikurssi 2015

Radioamatöörikurssi 2015 Radioamatöörikurssi 2015 Polyteknikkojen Radiokerho Radiotekniikka 5.11.2015 Tatu Peltola, OH2EAT 1 / 25 Vahvistimet Vahvistin ottaa signaalin sisään ja antaa sen ulos suurempitehoisena Tehovahvistus,

Lisätiedot

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4

6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 Datamuuntimet 1 Pekka antala 19.11.2012 Datamuuntimet 6. Analogisen signaalin liittäminen mikroprosessoriin 2 6.1 Näytteenotto analogisesta signaalista 2 6.2. DA-muuntimet 4 7. AD-muuntimet 5 7.1 Analoginen

Lisätiedot

Radioamatöörikurssi 2017

Radioamatöörikurssi 2017 Radioamatöörikurssi 2017 Elektroniikan kytkentöjä 7.11.2017 Tatu Peltola, OH2EAT 1 / 20 Suodattimet Suodattaa signaalia: päästää läpi halutut taajuudet, vaimentaa ei-haluttuja taajuuksia Alipäästösuodin

Lisätiedot

Perusmittalaitteet 2. Yleismittari Taajuuslaskuri

Perusmittalaitteet 2. Yleismittari Taajuuslaskuri Mittaustekniikan perusteet / luento 4 Perusmittalaitteet 2 Digitaalinen yleismittari Yleisimmin sähkötekniikassa käytetty mittalaite. Yleismittari aajuuslaskuri Huomaa mittareiden toisistaan poikkeaat

Lisätiedot

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA

S SÄHKÖTEKNIIKKA JA ELEKTRONIIKKA S-55.00 SÄHKÖTKNIIKK J KTONIIKK Kimmo Silvonen alto-yliopisto, sähkötekniikan korkeakoulu C Välikoe on kääntöpuolella! Tentti 7.4.04. Tehtävät,, 4, 6, 7. Saat vastata vain neljään tehtävään! Sallitut:

Lisätiedot

Automaation elektroniikka T103403, 3 op AUT2sn. Pekka Rantala syksy Opinto-opas 2012

Automaation elektroniikka T103403, 3 op AUT2sn. Pekka Rantala syksy Opinto-opas 2012 Automaation elektroniikka T103403, 3 op AUT2sn Pekka Rantala syksy 2013 Opinto-opas 2012 Osaamistavoitteet: Opintojakso perehdyttää opiskelijat automaatiotekniikan sovelluksissa käytettäviin elektroniikan

Lisätiedot

LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN

LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN LABORATORIOTYÖ 3 VAIHELUKITTU VAHVISTIN Päivitetty: 23/01/2009 TP 3-1 3. VAIHELUKITTU VAHVISTIN Työn tavoitteet Työn tavoitteena on oppia vaihelukitun vahvistimen toimintaperiaate ja käyttömahdollisuudet

Lisätiedot

S-108.3020. Elektroniikan häiriökysymykset. Laboratoriotyö 1

S-108.3020. Elektroniikan häiriökysymykset. Laboratoriotyö 1 1/8 S-108.3020 Elektroniikan häiriökysymykset Laboratoriotyö 1 Häiriöiden kytkeytyminen yhteisen impedanssin kautta lämpötilasäätimessä 13.9.2007 TJ 2/8 3/8 Johdanto Sähköisiä häiriöitä on kaikkialla ja

Lisätiedot

2. Vastuksen läpi kulkee 50A:n virta, kun siihen vaikuttaa 170V:n jännite. Kuinka suuri resistanssi vastuksessa on?

2. Vastuksen läpi kulkee 50A:n virta, kun siihen vaikuttaa 170V:n jännite. Kuinka suuri resistanssi vastuksessa on? SÄHKÖTEKNIIKKA LASKUHARJOITUKSIA; OHMIN LAKI, KIRCHHOFFIN LAIT, TEHO 1. 25Ω:n vastuksen päiden välille asetetaan 80V:n jännite. Kuinka suuri virta alkaa kulkemaan vastuksen läpi? 2. Vastuksen läpi kulkee

Lisätiedot

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma)

- Käyttäjä voi valita halutun sisääntulon signaalin asetusvalikosta (esim. 0 5V, 0 10 V tai 4 20 ma) LE PDX DIN kiskokiinnitys Ominaisuudet ja edut - Ohjelmoitavissa haluttuihin arvoihin - Itsenäiset säädöt (esim. ramp up & ramp down) - Kirkas 4 numeroinen LED näyttö - Selkeä rakenne, yksinkertainen käyttää

Lisätiedot

Elektroniikka ja sähkötekniikka

Elektroniikka ja sähkötekniikka Elektroniikka ja sähkötekniikka Sähköisiltä ilmiöiltä ei voi välttyä, vaikka ei käsittelisikään sähkölaitteita. Esimerkiksi kokolattiamatto, muovinen penkki, piirtoheitinkalvo tai porraskaide tulevat sähköisiksi,

Lisätiedot

Supply jännite: Ei kuormaa Tuuletin Vastus Molemmat DC AC Taajuus/taajuudet

Supply jännite: Ei kuormaa Tuuletin Vastus Molemmat DC AC Taajuus/taajuudet S-108.3020 Elektroniikan häiriökysymykset 1/5 Ryhmän nro: Nimet/op.nro: Tarvittavat mittalaitteet: - Oskilloskooppi - Yleismittari, 2 kpl - Ohjaus- ja etäyksiköt Huom. Arvot mitataan pääasiassa lämmityksen

Lisätiedot

ELEKTRONISET TOIMINNOT

ELEKTRONISET TOIMINNOT LUENTO 2 ALUKSI OLI... EHKÄ MIELENKIINTOISIN SUUNNITTELIJAN TEHTÄVÄ ON TOTEUTTAA LAITE (JA EHKÄ MENETELMÄKIN) JONKIN ONGELMAN RATKAISEMISEEN PUHTAALTA PÖYDÄLTÄ EI (AINAKAAN SAMALLA PERIAATTEELLA) VALMIITA

Lisätiedot

kipinäpurkauksena, josta salama on esimerkki.

kipinäpurkauksena, josta salama on esimerkki. Sähkö 25 Esineet saavat sähkövarauksen hankauksessa kipinäpurkauksena, josta salama on esimerkki. Hankauksessa esineet voivat varautua sähköisesti. Varaukset syntyvät, koska hankauksessa kappaleesta siirtyy

Lisätiedot

SATE1040 Piirianalyysi IB kevät /6 Laskuharjoitus 5: Symmetrinen 3-vaihejärjestelmä

SATE1040 Piirianalyysi IB kevät /6 Laskuharjoitus 5: Symmetrinen 3-vaihejärjestelmä 1040 Piirianalyysi B kevät 2016 1 /6 ehtävä 1. lla olevassa kuvassa esitetyssä symmetrisessä kolmivaihejärjestelmässä on kaksi konetta, joiden lähdejännitteet ovat vaihejännitteinä v1 ja v2. Järjestelmä

Lisätiedot

2. DC-SWEEP, AC-SWEEP JA PSPICE A/D

2. DC-SWEEP, AC-SWEEP JA PSPICE A/D 11 2. DC-SWEEP, AC-SWEEP JA PSPICE A/D Oleellista sweep -sovelluksissa on se, että DC-sweep antaa PSpice A/D avulla graafisia esityksiä, joissa vaaka-akselina on virta tai jännite, AC-sweep antaa PSpice

Lisätiedot

S SÄHKÖTEKNIIKKA Kimmo Silvonen

S SÄHKÖTEKNIIKKA Kimmo Silvonen S55.3 SÄHKÖTKNIIKKA 9.2.998 Kimmo Silvonen Tentti: tehtävät,3,5,8,. välikoe: tehtävät,2,3,4,5 2. välikoe: tehtävät 6,,8,9, Oletko muistanut täyttää palautekyselyn Teesenytja hauku samalla kokeet.. aske

Lisätiedot

Takaisinkytkentä. Avoin piiri vs. suljettu piiri. Tärkeä osa elektroniikkasuunnittelua

Takaisinkytkentä. Avoin piiri vs. suljettu piiri. Tärkeä osa elektroniikkasuunnittelua Takaisinkytkentä Tärkeä osa elektroniikkasuunnittelua Avoin piiri vs. suljettu piiri Suljettu, takaisinkytketty piiri on paljolti käytössä etenkin säätöjärjestelmissä Ensimmäiset analyyttiset menetelmät

Lisätiedot

a) I f I d Eri kohinavirtakomponentit vahvistimen otossa (esim. http://www.osioptoelectronics.com/)

a) I f I d Eri kohinavirtakomponentit vahvistimen otossa (esim. http://www.osioptoelectronics.com/) a) C C p e n sn V out p d jn sh C j i n V out Käytetyt symbolit & vakiot: P = valoteho [W], λ = valodiodin ilmaisuvaste eli responsiviteetti [A/W] d = pimeävirta [A] B = kohinakaistanleveys [Hz] T = lämpötila

Lisätiedot

Omnia AMMATTIOPISTO Pynnönen

Omnia AMMATTIOPISTO Pynnönen MMTTOSTO SÄHKÖTEKNKK LSKHJOTKS; OHMN LK, KCHHOFFN LT, TEHO, iirrä tehtävistä N piirikaavio, johon merkitset kaikki virtapiirin komponenttien tunnisteet ja suuruudet, jännitteet ja virrat. 1. 22:n vastuksen

Lisätiedot

Sähkövirran määrittelylausekkeesta

Sähkövirran määrittelylausekkeesta VRTAPRLASKUT kysyttyjä suureita ovat mm. virrat, potentiaalit, jännitteet, resistanssit, energian- ja tehonkulutus virtapiirin teho lasketaan Joulen laista: P = R 2 sovelletaan Kirchhoffin sääntöjä tuntemattomien

Lisätiedot

SÄHKÖTEKNIIKKA. NBIELS13 Tasasähköpiirit Jussi Hurri syksy 2015

SÄHKÖTEKNIIKKA. NBIELS13 Tasasähköpiirit Jussi Hurri syksy 2015 SÄHKÖTEKNIIKKA NBIELS13 Tasasähköpiirit Jussi Hurri syksy 2015 1. PERSKÄSITTEITÄ 1.1. VIRTAPIIRI Virtapiiri on johtimista ja komponenteista tehty reitti, jossa sähkövirta kulkee. 2 Virtapiirissä on vähintään

Lisätiedot

1 Kohina. 2 Kohinalähteet. 2.1 Raekohina. 2.2 Terminen kohina

1 Kohina. 2 Kohinalähteet. 2.1 Raekohina. 2.2 Terminen kohina 1 Kohina Kohina on yleinen ongelma integroiduissa piireissä. Kohinaa aiheuttavat pienet virta- ja jänniteheilahtelut, jotka ovat komponenteista johtuvia. Myös ulkopuoliset lähteet voivat aiheuttaa kohinaa.

Lisätiedot

Käytännön radiotekniikkaa: Epälineaarinen komponentti ja signaalien siirtely taajuusalueessa (+ laboratoriotyön 2 esittely)

Käytännön radiotekniikkaa: Epälineaarinen komponentti ja signaalien siirtely taajuusalueessa (+ laboratoriotyön 2 esittely) Käytännön radiotekniikkaa: Epälineaarinen komponentti ja signaalien siirtely taajuusalueessa (+ laboratoriotyön 2 esittely) ELEC-C5070 Elektroniikkapaja, 21.9.2015 Huom: Kurssissa on myöhemmin erikseen

Lisätiedot

Ongelmia mittauksissa Ulkoiset häiriöt

Ongelmia mittauksissa Ulkoiset häiriöt Ongelmia mittauksissa Ulkoiset häiriöt Häiriöt peittävät mitattavia signaaleja Häriölähteitä: Sähköverkko 240 V, 50 Hz Moottorit Kytkimet Releet, muuntajat Virtalähteet Loisteputkivalaisimet Kännykät Radiolähettimet,

Lisätiedot

YLEISMITTAREIDEN KÄYTTÄMINEN

YLEISMITTAREIDEN KÄYTTÄMINEN FYSP104 / K1 YLEISMITTAREIDEN KÄYTTÄMINEN Työn tavoitteita oppia tuntemaan analogisen ja digitaalisen yleismittarin tärkeimmät erot ja niiden suorituskyvyn rajat oppia yleismittareiden oikea ja rutiininomainen

Lisätiedot

TASONSIIRTOJEN ja VAHVISTUKSEN SUUNNITTELU OPERAATIOVAHVISTINKYTKENNÖISSÄ

TASONSIIRTOJEN ja VAHVISTUKSEN SUUNNITTELU OPERAATIOVAHVISTINKYTKENNÖISSÄ TSONSTOJEN ja VHVSTKSEN SNNTTEL OPETOVHVSTKYTKENNÖSSÄ H. Honkanen. SMMMEN KÄYTTÖ - Summaimelle voidaan erikseen määrittää, omaan tuloonsa: - Signaalin jännitevahvistus ja - Tasonsiirto - Mahdollisuus kytkeä

Lisätiedot

Pynnönen 1.5.2000. Opiskelija: Tarkastaja: Arvio:

Pynnönen 1.5.2000. Opiskelija: Tarkastaja: Arvio: EAOL 1/5 Opintokokonaisuus : Jakso: Harjoitustyö: Passiiviset komponentit Pvm : vaihtosähköpiirissä Opiskelija: Tarkastaja: Arvio: Tavoite: Välineet: Opiskelija oppii ymmärtämään vastuksen, kondensaattorin

Lisätiedot

S SÄHKÖTEKNIIKKA Kimmo Silvonen

S SÄHKÖTEKNIIKKA Kimmo Silvonen S-55.103 SÄHKÖTKNKKA 7.5.004 Kimmo Silvonen Tentti: tehtävät 1,3,5,7,9 1. välikoe: tehtävät 1,,3,4,5. välikoe: tehtävät 6,7,8,9,10 Oletko muistanut vastata palautekyselyyn? Voit täyttää lomakkeen nyt.

Lisätiedot

Vahvistimet. A-luokka. AB-luokka

Vahvistimet. A-luokka. AB-luokka Vahvistimet A-luokka A-luokan vahvistimen molemmat päätevahvistin tarnsistorit johtavat, vaikke vahvistinta käytettäisi. Vahvistinta käytettäessä jatkuva lepovirta muuttuu ja näin vältytään kytkentäsäröltä

Lisätiedot